STM32——SPI通信

2023-10-29

SPI(Serial Peripheral Interface)概述:

SPI是一种串行通信接口,用于在微控制器、传感器、存储器等设备之间进行数据传输。
SPI通信通常涉及一个主设备(Master)和一个或多个从设备(Slave),通过共享时钟信号和数据线进行通信。

SPI的硬件连接:

SPI使用四条信号线:时钟线(SCLK)、主设备输出线(MOSI)、主设备输入线(MISO)和片选线(SS)。
通信过程中,主设备通过时钟线产生时钟信号,控制数据传输的时序。
主设备通过MOSI线发送数据,而从设备通过MISO线返回响应数据。
片选线用于选择要与主设备进行通信的从设备。
在这里插入图片描述
一主一从连接
在这里插入图片描述
一主多从连接
在这里插入图片描述

SPI的特点和优势:

SPI支持高速的全双工数据传输、只需少量的信号线和硬件引脚、SPI可以连接多个从设备,每个从设备都有独立的片选线,方便扩展和集成多个设备、SPI通常用于设备之间的短距离通信,如同一个电路板上的通信。

SPI的常见应用:

存储器接口:SPI常用于与闪存、EEPROM等存储器设备进行通信。
传感器接口:许多传感器模块使用SPI接口与主控制器通信,如加速度计、陀螺仪等。
显示器接口:某些显示模块使用SPI接口进行数据传输。
无线通信模块:一些无线模块,如WiFi模块、蓝牙模块等,可以通过SPI与主控制器通信。

SPI的工作方式和时序图分析:

工作模式

配置SPI设备的参数,如时钟频率、数据位数和传输模式。本模块代码参考博客:https://blog.51cto.com/u_15903730/6163015
主模式(Master Mode):
在主模式下,一个主设备控制整个SPI通信过程。主设备负责生成时钟信号(SCLK)和控制片选信号(SS)来选择从设备,并通过MOSI线(Master Output Slave Input)向从设备发送数据。同时,主设备通过MISO线(Master Input Slave Output)接收从设备返回的数据。

#include <stdio.h>
#include <stdlib.h>
#include <stdint.h>
#include "stm32f4xx.h"

#define SPIx            SPI1
#define GPIO_AF_SPIx     GPIO_AF5_SPI1
#define GPIO_CS         GPIO_Pin_4
#define GPIO_CLK        GPIO_Pin_5
#define GPIO_MISO       GPIO_Pin_6
#define GPIO_MOSI       GPIO_Pin_7

void init_spi(void)
{
    SPI_InitTypeDef spi_init_struct;
    GPIO_InitTypeDef gpio_init_struct;

    /* Enable the SPI clock */
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_SPI1, ENABLE);

    /* Enable the GPIO clock */
    RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);

    /* Configure the chip select pin as output */
    gpio_init_struct.GPIO_Mode = GPIO_Mode_OUT;
    gpio_init_struct.GPIO_OType = GPIO_OType_PP;
    gpio_init_struct.GPIO_Pin = GPIO_CS;
    gpio_init_struct.GPIO_PuPd = GPIO_PuPd_NOPULL;
    gpio_init_struct.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOA, &gpio_init_struct);

    /* Configure the SPI pins */
    gpio_init_struct.GPIO_Mode = GPIO_Mode_AF;
    gpio_init_struct.GPIO_OType = GPIO_OType_PP;
    gpio_init_struct.GPIO_Pin = GPIO_CLK | GPIO_MISO | GPIO_MOSI;
    gpio_init_struct.GPIO_PuPd = GPIO_PuPd_NOPULL;
    gpio_init_struct.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOA, &gpio_init_struct);

    /* Connect the SPI pins to their alternate functions */
    GPIO_PinAFConfig(GPIOA, GPIO_PinSource5, GPIO_AF_SPIx);
    GPIO_PinAFConfig(GPIOA, GPIO_PinSource6, GPIO_AF_SPIx);
    GPIO_PinAFConfig(GPIOA, GPIO_PinSource7, GPIO_AF_SPIx);

    /* Configure the SPI peripheral */
    spi_init_struct.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_4;
    spi_init_struct.SPI_CPHA = SPI_CPHA_1Edge;
    spi_init_struct.SPI_CPOL = SPI_CPOL_Low;
    spi_init_struct.SPI_CRCPolynomial = 7;
    spi_init_struct.SPI_DataSize = SPI_DataSize_8b;
    spi_init_struct.SPI_Direction = SPI_Direction_2Lines_FullDuplex;
    spi_init_struct.SPI_FirstBit = SPI_FirstBit_MSB;
    spi_init_struct.SPI_Mode = SPI_Mode_Master;
    spi_init_struct.SPI_NSS = SPI_NSS_Soft;
    SPI_Init(SPIx, &spi_init_struct);

    /* Enable the SPI peripheral */
    SPI_Cmd(SPIx, ENABLE);
}

uint8_t spi_send_byte(uint8_t byte)
{
    /* Wait for any pending transfers to complete */
    while (SPI_I2S_GetFlagStatus(SPIx, SPI_I2S_FLAG_TXE) == RESET);

    /* Send the byte */
    SPI_I2S_SendData(SPIx, byte);

    /* Wait for the transfer to complete */
    while (SPI_I2S_GetFlagStatus(SPIx, SPI_I2S_FLAG_RXNE) == RESET);

    /* Return the received byte */
    return SPI_I2S_ReceiveData(SPIx);
}

int main(void)
{
    uint8_t data;

    /* Initialize the SPI module */
    init_spi();

    /* Select the slave device */
    GPIO_ResetBits(GPIOA, GPIO_CS);

    /* Send some data */
    data = spi_send_byte(0xAA);
    printf("Received: 0x%02X\n", data);

    /* Deselect the slave device */
    GPIO_SetBits(GPIOA, GPIO_CS);

    return 0;
}

从模式(Slave Mode):
在从模式下,从设备被动地响应主设备的控制。从设备通过MISO线接收主设备发送的数据,并通过MOSI线向主设备返回数据。从设备在接收到有效的片选信号(SS)后才会响应数据传输。

#include <stdio.h>
#include <stdlib.h>
#include <stdint.h>
#include "stm32f4xx.h"

#define SPIx            SPI1
#define GPIO_AF_SPIx     GPIO_AF5_SPI1
#define GPIO_CS         GPIO_Pin_4
#define GPIO_CLK        GPIO_Pin_5
#define GPIO_MISO       GPIO_Pin_6
#define GPIO_MOSI       GPIO_Pin_7

void init_spi(void)
{
    SPI_InitTypeDef spi_init_struct;
    GPIO_InitTypeDef gpio_init_struct;

    /* Enable the SPI clock */
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_SPI1, ENABLE);

    /* Enable the GPIO clock */
    RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);

    /* Configure the chip select pin as output */
    gpio_init_struct.GPIO_Mode = GPIO_Mode_OUT;
    gpio_init_struct.GPIO_OType = GPIO_OType_PP;
    gpio_init_struct.GPIO_Pin = GPIO_CS;
    gpio_init_struct.GPIO_PuPd = GPIO_PuPd_NOPULL;
    gpio_init_struct.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOA, &gpio_init_struct);

    /* Configure the SPI pins */
    gpio_init_struct.GPIO_Mode = GPIO_Mode_AF;
    gpio_init_struct.GPIO_OType = GPIO_OType_PP;
    gpio_init_struct.GPIO_Pin = GPIO_CLK | GPIO_MISO | GPIO_MOSI;
    gpio_init_struct.GPIO_PuPd = GPIO_PuPd_NOPULL;
    gpio_init_struct.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOA, &gpio_init_struct);

    /* Connect the SPI pins to their alternate functions */
    GPIO_PinAFConfig(GPIOA, GPIO_PinSource5, GPIO_AF_SPIx);
    GPIO_PinAFConfig(GPIOA, GPIO_PinSource6, GPIO_AF_SPIx);
    GPIO_PinAFConfig(GPIOA, GPIO_PinSource7, GPIO_AF_SPIx);

    /* Configure the SPI peripheral */
    spi_init_struct.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_4;
    spi_init_struct.SPI_CPHA = SPI_CPHA_1Edge;
    spi_init_struct.SPI_CPOL = SPI_CPOL_Low;
    spi_init_struct.SPI_CRCPolynomial = 7;
    spi_init_struct.SPI_DataSize = SPI_DataSize_8b;
    spi_init_struct.SPI_Direction = SPI_Direction_2Lines_FullDuplex;
    spi_init_struct.SPI_FirstBit = SPI_FirstBit_MSB;
    spi_init_struct.SPI_Mode = SPI_Mode_Slave;
    spi_init_struct.SPI_NSS = SPI_NSS_Hard;
    SPI_Init(SPIx, &spi_init_struct);

    /* Enable the SPI peripheral */
    SPI_Cmd(SPIx, ENABLE);
}

uint8_t spi_receive_byte(void)
{
    /* Wait for any pending transfers to complete */
    while (SPI_I2S_GetFlagStatus(SPIx, SPI_I2S_FLAG_TXE) == RESET);

    /* Return the received byte */
    return SPI_I2S_ReceiveData(SPIx);
}

void spi_send_byte(uint8_t byte)
{
    /* Wait for any pending transfers to complete */
    while (SPI_I2S_GetFlagStatus(SPIx, SPI_I2S_FLAG_TXE) == RESET);

    /* Send the byte */
    SPI_I2S_SendData(SPIx, byte);

    /* Wait for the transfer to complete */
    while (SPI_I2S_GetFlagStatus(SPIx, SPI_I2S_FLAG_RXNE) == RESET);

    /* Clear the receive buffer */
    SPI_I2S_ReceiveData(SPIx);
}

int main(void)
{
    uint8_t data;

    /* Initialize the SPI module */
    init_spi();

    /* Wait for the chip select signal */
    while (GPIO_ReadInputDataBit(GPIOA, GPIO_CS) != Bit_RESET);

    /* Loop forever */
    while (1)
    {
        /* Receive some data */
        data = spi_receive_byte();

        /* Process the data */
        printf("Received: 0x%02X\n", data);

        /* Send a response */
        spi_send_byte(0xAA);
    }

    return 0;
}

传输模式与时序分析

时钟极性和相位(Clock Polarity and Phase):
SPI接口还具有时钟极性(CPOL)和时钟相位(CPHA)的设置。CPOL定义了时钟信号在空闲状态时的电平,可以是高电平(CPOL=1)或低电平(CPOL=0)。CPHA定义了数据采样的时机,可以是在时钟信号的上升沿(CPHA=0)或下降沿(CPHA=1)进行采样。
根据CPOL和CPHA的不同组合,可以有四种不同的时钟极性和相位模式,分别为:

模式0:CPOL=0,CPHA=0
模式1:CPOL=0,CPHA=1
模式2:CPOL=1,CPHA=0
模式3:CPOL=1,CPHA=1

时钟极性和相位的设置需要主设备和从设备之间保持一致,以确保正确的数据传输。SPI 总线有四种工作方式(SP0, SP1, SP2, SP3),其中使用的最为广泛的是 SPI0 和和 SPI3 方式

读取数据方式:
00 起始电平为低电平—>数据采样(读数据)在第一个边沿,时钟线从低到高跳变的时候,数据线保持不变,这时候读数据,时钟线从高到底跳变的时候,数据线可以变化,发送数据,所以上升沿读,下降沿写

01 起始电平为低电平—>数据采样(读数据)在第二个边沿,时钟线从低到高跳变的时候,数据线可以变化,发送数据,时钟线从高到底跳变的时候,数据线保持不变,这时候读数据,所以上升沿写,下降沿读

10 起始电平是高点平—>数据采样(读数据)在第一个边沿,时钟线从高到低跳变的时候,数据线保持不变,这时候读数据,时钟线从低到高跳变的时候,数据线可以变化,发送数据,所以,下降沿读,上升沿写

11 起始电平是高点平—>数据采样(读数据)在第二个边沿,时钟线从高到低跳变的时候,数据线可以变化,发送数据,时钟线从低到高跳变的时候,数据线保持不变,这时候读数据,所以,下降沿写,上升沿读
在这里插入图片描述
每次传输数据的宽度为 8bit,上升沿发送、下降沿接收、高位先发送。
上升沿到来的时候,MOSI 上的电平将被发送到从设备的寄存器中。
下降沿到来的时候,MISO 上的电平将被接收到主设备的寄存器中。

在这里插入图片描述

工作流程

  1. SPI通信工作流程
    1)硬件连接:
    首先,确保SPI主机(如微控制器)和SPI从机(外部设备)之间正确连接。通常,SPI通信需要四根线:SCLK(时钟线)、MOSI(主机输出从机输入线)、MISO(主机输入从机输出线)和SS(从机选择线)。
    2)主机配置:
    主机(如微控制器)需要配置SPI控制器的相关寄存器或寄存器位,以设置通信参数,如时钟速率、数据位顺序、数据传输模式等。
    3)选择从机:
    主机通过设置SS线(从机选择线)来选择要与之通信的从机。如果有多个从机连接到同一总线,主机需要选择其中一个从机与之通信,常通过将SS线拉低来选中对应的从机。
    4)数据传输:
    SPI通信是全双工的,数据可以同时在主机和从机之间传输。
    主机通过SCLK线发送时钟信号,驱动数据传输的时序。主机在每个时钟周期的上升沿或下降沿将数据位推送到MOSI线上,发送给从机。从机在相应的时钟边沿读取MOSI线上的数据位,并将响应的数据位推送到MISO线上,供主机读取。数据传输可以按照指定的字节、位数或连续传输的方式进行。
    5)传输完成:
    传输完成后,可以继续进行下一次传输或者释放SPI总线。

SPI设备的寄存器结构和寄存器设置

SPI设备寄存器结构:

控制寄存器(Control Register):控制SPI设备的操作模式、时钟频率、数据位顺序等设置。
状态寄存器(Status Register):提供SPI设备的状态信息,如传输完成标志、错误标志等。
数据寄存器(Data Register):用于存储要发送或接收的数据。

常见的寄存器设置:

操作模式(Mode):SPI设备通常支持多种操作模式,如主模式(Master Mode)和从模式(Slave Mode)。通过控制寄存器的相关位,选择适当的模式。
时钟频率(Clock Frequency):SPI设备的通信速率由时钟频率决定。控制寄存器中的时钟分频位或配置寄存器可以用于设置合适的时钟频率。
时钟极性和相位(Clock Polarity and Phase):SPI设备的时钟极性(CPOL)和时钟相位(CPHA)设置决定了数据采样和传输的时机。通过控制寄存器的相关位设置CPOL和CPHA。
数据位顺序(Data Bit Order):SPI设备可以支持先传输最高有效位(MSB)或先传输最低有效位(LSB)。寄存器中的位序设置可以用于选择合适的数据位顺序。
中断使能(Interrupt Enable):SPI设备通常支持中断功能,用于通知主设备有数据可用或传输完成。中断使能位可以在控制寄存器中设置。
具体参考技术文档、参考文档、数据手册来确定产品的使用。

STM32F4xx SPI 模块特性

1) 由 SPI 模块中 SCLK、MOSI 和 MISO 三线组成全双工同步传输
2) 支持数据传输位宽为 8 位数据或 16 位数据
3) 可以通过软件设置 SPI 模块为主机模式或从机模式
4) 可以对 SPI 的时钟源进行分频,来做为作为主机或从机时的通讯速度(分频最大值为 fPCLK/2),但 STM32F401xE 的 SPI 模块最大通信速度为 42MHz (spi1,spi4)或者 21Mhz(spi2,spi3)。
5) 可以使用软件对 SPI 的通讯时序(时钟极性和时钟相位)进行选择
6) 可以对 SPI 数据传输顺序进行选择为最先移位 MSB 或 LSB
7) SPI 模块的中断源为:SPI 数据发送和接收、主模式故障、数据上溢(过载)以及 CRC 错误
8) SPI 可以利用 DMA 功能对数据进行每次 1 字节发送和接收。
在这里插入图片描述

如何调试SPI通信问题和故障排除

掌握常见的SPI通信错误和解决方法,如时钟频率不匹配、数据线连接错误等。
1.串口显示内容但是乱码:时钟频率两端不匹配,重新调频率
2.无现象或者本来有现象后来突然中断通信:数据线是否连接错误,附近是否有信号干扰,检查电源线是否未连接好
3.冲突和协议错误:和板子的协议不匹配,先查看协议,检查硬件连接是否正确,确保SPI主设备和从设备之间的通信协议和数据格式设置正确。检查SPI设备的地址、片选信号等设置是否正确。确保主设备和从设备之间的通信时序和协议一致。检查设备的文档和规格说明,确保了解正确的配置和操作方法。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

STM32——SPI通信 的相关文章

随机推荐

  • (00)Hi3516A/Hi3516D SDK 安装以及升级使用说明

    Hi3516A Hi3516D SDK 安装以及升级使用说明 注意 本文以Hi3516A描述为例 未有特殊说明 Hi3516D与Hi3516A一致 第一章 Hi3516A SDK Vx x x x版本升级操作说明 如果您是首次安装本SDK
  • 一站式讲明白Mockito打桩测试常用的几个接口

    一站式讲明白Mockito打桩测试常用的几个接口 文章目录 一站式讲明白Mockito打桩测试常用的几个接口 1 几个术语 2 Mock对象和Mock部分对象 partial mock 场景 接口 实例 3 否真正执行方法 doXxx和th
  • webpack5 打包工具 详解

    webpack 详解 1 webpack是什么 2 webpack初始化配置 3 webpack 开发环境的基本配置 3 1 webpack配置打包 3 2 webpack css 样式打包 3 3 webpack Html资源打包 3 4
  • Butterfly 最新评论 newest-comments 401/资源加载错误(无法获取资料,请确认相关配置是否正确)

    集成 Butterfly 博客主题中 在配置最新评论 newest comments 时 根据 Butterfly 的配置文档配置完成后 最新评论一直无法显示 提示错误信息 无法获取资料 请确认相关配置是否正确 在网页调试模式下 给出的错误
  • Java面向对象 学习笔记(四)常用集合

    Java面向对象 学习笔记 四 常用集合 Java集合 1 Collection 1 List 1 ArrayList 2 Vector 2 Set 1 HashSet 2 LinkedHashSet 2 Map 1 HashMap 3 C
  • ajax中sy,黑马eesy_15 Vue:vue语法和生命周期与ajax异步请求

    自学Java后端开发 发现14 微服务电商 乐优商城 实战项目 在介绍完SpringCloud后就要肝前端的基础知识ES6语法和Vue js 所以本篇博客作为入门Vue练习记录的过程 目的是供自学后端Java遇到Vue使用需求的时候 加强学
  • 模型参数加载后继续训练或测试,准确率下降很多或者一直不变

    1 请首先检查自己是不是没有将原来的模型参数成功导入 你以为你导入成功了 但是注意 你load state dict时 如果用了strict False是不会报错的 所以如果你没有仔细核对参数名是否正确 主要针对有使用预训练模型参数的情况
  • 在Java中打印金字塔图案

    1 问题描述 打印出金字塔图案 如图1所示 图1 2 问题分析 这时一个很经典的循环应用的问题 我们都知道 打印输出的时候 都是从 最左端输出 而这里第一个三角形符号是在最中间 这实际是因为三角形之前有很多空格 当我们使用圆圈来表示空格 实
  • MySQL-数据库读写分离(上)

    作者 小刘在C站 个人主页 小刘主页 努力不一定有回报 但一定会有收获加油 一起努力 共赴美好人生 学习两年总结出的运维经验 以及思科模拟器全套网络实验教程 专栏 云计算技术 小刘私信可以随便问 只要会绝不吝啬 感谢CSDN让你我相遇 目录
  • [Python]python3将print中的输出内容保存至txt文件

    我们的目标是将print输出导入txt 实现方法如下 log open a txt mode a encoding utf 8 for i in range 100 a b print a file log log close
  • 某网站无法访问原因

    问题 arduino cc 网站以前一直都可以正常访问 但不知是什么原因 后面就不能访问了 但有时偶尔可访问 大部分时间都不能打开完整页面 一直以为该网站是不是挂了或被墙了 但是通过外网的云服务器 使用wget访问该网站 是可以获取页面内容
  • linux 内核poll/select/epoll实现剖析(原理经典)

    转自 https blog csdn net lishenglong666 article details 45536611 poll select epoll的实现都是基于文件提供的poll方法 f op gt poll 该方法利用pol
  • 【OpenGL编程指南】之视图和模型变换

    OpenGL编程指南 之视图和模型变换 照相机比喻 视图变换 确定照相机位置 模型变换 安排场景 确定物体位置 投影变换 选择照相机镜头 调整放大倍数 视口变换 确定照片的大小 通用变换函数 void glMatrixMode GLenum
  • 区块链技术应用学习

    学习导入 今天 以云计算 大数据 人工智能 区块链等为代表的的新一轮科技革命 对金融业 产生着前所未有的影响 新技术正义其独有的渗透性 冲击性 倍增性和创新性推动金融行 业发展到一个全新节点 金融科技人才 是复合型创新人才 需要金融方面掌握
  • Wrong Ramdisk Image Format Ramdisk image is corrupt or invalid

    在学习正点原子的阿尔法开发板时启动内核出错 出现 Wrong Ramdisk Image Format Ramdisk image is corrupt or invalid 报错 原因 因为我是直接复制的 因此中间 那个 格式不对 自己手
  • JavaScript和了解一切的压力,克里斯蒂安·海尔曼

    In this episode of the Versioning Show Tim and David are joined by Christian Heilmann well known developer speaker autho
  • Spring Framework---IOC/DI

    目录 1 Spring框架的主要内容 1 1Spring的发展版本 1 2Spring系统架构 1 核心层 2 AOP层 3 数据层 4 Web层 5 Test层 1 3Spring核心概念 1 3 1IOC Inversion of co
  • XSS-Labs通关(1-18)

    目录 Level1 Level2 Level3 Level4 Level5 Level6 Level7 Level8 Level9 Level10 Level11 Level12 Level13 Level15 Level16 Level1
  • Java获取文件名、文件前缀名、文件类型(文件后缀名)

    获取文件名 方法一 split分割 String fileName E file docx String temp fileName split String fileNameNow temp temp length 1 System ou
  • STM32——SPI通信

    文章目录 SPI Serial Peripheral Interface 概述 SPI的硬件连接 SPI的特点和优势 SPI的常见应用 SPI的工作方式和时序图分析 工作模式 传输模式与时序分析 工作流程 SPI设备的寄存器结构和寄存器设置