锁存器、触发器和寄存器

2023-10-31

  • 锁存器

锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 

锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。(简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程);

下面简单的介绍一下常用的D锁存器:

 

 

应用场合:数据有效滞后于时钟信号有效,这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器 ;

优点:面积小、锁存器比FF快,所以用在地址锁存是很合适的,不过一定要保证所有的latch信号源的质量,锁存器在CPU设计中很常见,正是由于它的应用使得CPU的速度比外部IO部件逻辑快许多。latch完成同一个功能所需要的门较触发器要少,所以在asic中用的较多;

缺点:时序分析较困难;

不用锁存器的原因有二:1、锁存器容易产生毛刺,2、锁存器在ASIC设计中应该说比FF要简单,但是在FPGA的资源中,大部分器件没有锁存器这个东西,所以需要用一个逻辑门和FF来组成锁存器,这样就浪费了资源;

  • 触发器 

触发器(Flip-Flop,简写为 FF)---对脉冲边沿敏感,其状态只在时钟脉冲的上升沿或下降沿的瞬间改变 ;

触发器也叫双稳态门,又称双稳态触发器,是一种可以在两种状态下运行的数字逻辑电路。触发器一直保持它们的状态,直到它们收到输入脉冲,又称为触发。当收到输入脉冲时,触发器输出就会根据规则改变状态,然后保持这种状态直到收到另一个触发 ;

下面简单的介绍一下常用的主从D触发器:

应用场合:时钟有效迟后于数据有效,这意味着数据信号先建立,时钟信号后建立,在CP有效沿时刻打入到寄存器 ;

常用的触发器包括:

 

  • 寄存器 

寄存器(register):用来存放数据的一些小型存储区域,用来暂时存放参与运算的数据和运算结果,它被广泛的用于各类数字系统和计算机中。其实寄存器就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存器的存储电路是由锁存器或触发器构成的,因为一个锁存器或触发器能存储1位二进制数,所以由N个锁存器或触发器可以构成N位寄存器。 工程中的寄存器一般按计算机中字节的位数设计,所以一般有8位寄存器、16位寄存器等 ;

寄存器的应用

a)可以完成数据的并串、串并转换;

b)可以用做显示数据锁存器:许多设备需要显示计数器的记数值,以8421BCD码记数,以七段显示器显示,如果记数速度较高,人眼则无法辨认迅速变化的显示字符。在计数器和译码器之间加入一个锁存器,控制数据的显示时间是常用的方法。

c)用作缓冲器;

d)组成计数器:移位寄存器可以组成移位型计数器,如环形或扭环形计数器。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

锁存器、触发器和寄存器 的相关文章

  • 解决PowerDesigner中Name与Code同步的问题。

    PowerDesigner中 但修改了某个字段的name 其code也跟着修改 这个问题很讨厌 因为一般来说 name是中文的 code是字段名 解决方法如下 1 选择Tools gt GeneralOptions 菜单 出现General
  • mybatis的接口绑定方式(注解绑定和配置xml文件)

    目录 一 配置xml文件 步骤 1 创建pojo类 Student对象 2 创建StudentMapper接口 3 创建mapper xml配置文件 4 在mymybatis config xml中添加StudentMapper配置 5 创
  • at指令集

    AT指令中文手册 2 1 简介 5 1 1 名词术语 5 1 2 AT 命令简介 5 2 AT 命令祥解 5 2 1 一般命令 5 2 1 1 AT CSCS 选择TE 字符集 2 7 补充业务命令
  • python中判断整数和整型的方法

    我们先看一下什么整数和整型 整数 integer 是正整数 零 负整数的集合 整型 计算机中的一个基本的专业术语 指没有小数部分的数据 来这百度百科 猛一看整数和整型没有区别 所以网上有一堆使用使用isinstance来判断一个数是否是整数
  • 【Java】抽象类和接口

    并没有那么多天赋异禀 优秀的人总是努力翻山越岭 目录 1 抽象类 1 1 抽象类的概念 1 2 抽象类的语法 1 3 抽象类的特性 1 4 抽象类的作用 2 接口 2 1 接口的概念 2 2 接口的语法规则 2 3 接口的使用 2 4 接口
  • windbg调试驱动不用替换调试机器驱动的方法

    开发windows驱动 通常调试驱动的方法是在主机上编写代码编译通过 将sys和inf拿到被调试机台上安装测试 主机通过windbg连上调试机台 当代码有改动时 将编译好的驱动再拿到被调试机台上安装测试 每次都拷贝到调试机台上安装驱动这个动
  • 报错jinja2.exceptions.UndefinedError: ‘form‘ is undefined

    这是我在写flask项目时报的错误 报错原因 是因为两个视图函数引用了同一个模板 模板中使用了jinja2 其中一个视图函数没有使用其语法 所以报了以上错误 解决方法 只需要把两个视图所引用的模板分开写就可以了
  • 工具:valgrind学习

    Valgrind手册 Quick start 文章目录 概述 体系结构 Valgrind 原理 安装 编译时需要注意 快速入门 LEAK SUMMARY 内存泄漏总结 分类 实践 第一个例子 没有内存泄漏 第二个例子 只申请内存而不释放 编
  • 个人理解Vue和React区别

    监听数据变化的实现原理不同 Vue 通过 getter setter 以及一些函数的劫持 能精确知道数据变化 不需要特别的优化就能达到很好的性能 React 默认是通过比较引用的方式进行的 如果不优化 PureComponent shoul
  • 睿智的目标检测29——Keras搭建YoloV4目标检测平台

    睿智的目标检测29 Keras搭建YoloV4目标检测平台 学习前言 什么是YOLOV4 代码下载 YOLOV4改进的部分 不完全 YOLOV4结构解析 1 主干特征提取网络Backbone 2 特征金字塔 3 YoloHead利用获得到的
  • Latex-数学公式-网站

    mohu org info symbols symbols htm 常见问题 Xmind思维导图 中文官方网站 一些使用 normalsize textcircled scriptsize 2 n n 1 2n 2 1
  • 西门子模块选择pdf_「西门子1200PLC教程」17.硬件的组态

    头条号私信回复1 可免费获取海量资源下载链接 目录 1 添加新设备 2 添加模块 3 编辑属性和参数 4 组态设备网络 在S7 1200中 当用户新建一个项目时 应先进行硬件组态 硬件组态是编写项目程序的基础 在STEP7 Basic中 组
  • sql 判断两个字段是否相同_判断两个工作表中相同记录的SQL语句写法

    大家好 今日继续VBA数据库解决方案的讲解 今日讲解的是第47讲 内容是 在两个工作表提取数据记录 并显示相同记录的报告 我们在工作中经常会遇到这样的情况 要分析两个工作表 知道哪些记录是重复的 如果不用ADO解决 只用EXCEL来解决 该
  • signature=632120ed2e762a810e77edecc3de4568,zguide2/.signatures at master · imatix/zguide2 · GitHub

    9ac433a6bf5e85fc6c684ec22d64141aae1b79e0 examples Scala rrworker scala c9bcc4aabb7a713ad989d43af1eb6293b77da4e4 examples
  • 浏览器怎么扫描二维码_二维码的用法

    二维码是什么 说起来并不复杂 任何信息都可以通过特定的编码算法转化为二维码 我们常见的一段复杂信息 比如一个很长的URL 一些加密后的字符串 或者一个复杂的快递编号 如果人工输入是非常繁琐并且误差很大的 但如果把文本转化为二维码 通过扫描枪
  • 【分类器】感知机+线性回归+逻辑斯蒂回归+softmax回归

    一 感知机 详细参考 https blog csdn net wodeai1235 article details 54755735 1 模型和图像 2 数学定义推导和优化 3 流程 二 线性回归 1 定义及解析解 a XTX 1 XTy
  • 【Android Studio】AndroidManifest

    Android Studio AndroidManifest 去除标题栏 android theme style Theme AppCompat DayNight NoActionBar gt 更改图标 android icon mipma
  • python中的*,**与*args,**kwargs

    python中的 与 args kwargs 0 前言 1 用作算符运算符 2 用作打包运算符 2 1 用作函数形参 2 2 用作序列打包 3 用作解包运算法 3 1 用作函数实参 3 2 用作序列解包 4 打包和解包的混合使用 0 前言

随机推荐

  • 硅谷黑客增长

    1 增长黑客的90天计划 第一周 了解增长的工具箱 渠道管理系统 分析工具等 深入研究历史数据 发现问题 和领导 团队沟通 了解如何开展工作 合作 倾听用户的声音 看看评论 社交媒体留言 第一个月 确定增长指标 找到一个聚焦领域 摘取低垂的
  • react-router详解

    react router详解 react router详解 路由的基本使用 安装react router 使用react router 需求 导航区 头部一般组件 组件的使用 展示区 主体内容路由组件 路由组件与一般组件 NavLink与封
  • citespace快速上手教程(包括相关报错)

    文章目录 相关参考内容 一 启动citespace 注意 1 1点击startCitespace Windows bat 1 2弹出如下界面 输入数字2 1 3进行 操作后弹出如下界面 1 4citespace操作界面如下 二 citesp
  • Faster-RCNN

    每看一次都有新感觉 好文链接 https zhuanlan zhihu com p 32404424
  • VC调用matlab中定义的.m文件中的函数的实例

    matlab是一个强大的数学计算 仿真工具 其内置了很多实用的现成的函数
  • 西门子s7-200smart PLC通讯协议(TCP)

    西门子s7 200smart PLC通讯协议 TCP 由于网上找到的大部分指令解析资料都是以1200 300 等为主的指令 并未见到200smart系列 且恰巧项目需要与该plc通讯读写数据 虽然找到一些现有c 的工程代码不过对200sma
  • 杂牌平板mt6797_单核强劲但耐久不足的MT6797_平板电脑评测-中关村在线

    单核强劲但耐久不足的MT6797 笔者使用安兔兔v7 0 7和Geekbench 4对酷比魔方X1进行了跑分测试 结果如下 安兔兔测试 GeekBench 4测试 根据安兔兔和GeekBench 4测试 MT6797性能尚可一战 尤其是在G
  • 【论文精度】MAE逐段精读

    跟李沐学AI的b站视频视频 论文精读笔记第四期 包含MAE论文精读第一遍和论文精读第二遍
  • 01背包问题中两个背包的解法

    问题描述大致如下 给你n个物品 两个背包 如何装包可以使得两个背包得到的价值是最大的 一个简化问题 来自微软的笔试题 题目大意 有两条生产线 一条可以工作x小时 一条工作y小时 一个数组表示每种汽车生产需要多久 要求最多生产多少种汽车 解析
  • 《Python进阶系列》十二:最全魔术方法整理

    Python中的魔术方法 所谓魔法函数 Magic Methods 是Python的一种高级语法 允许你在类中自定义函数 并绑定到类的特殊方法中 比如在类A中自定义 str 函数 则在调用str A 时 会自动调用 str 函数 并返回相应
  • Java继承(超详解)

    目录 1 继承 1 1 继承概述 1 2 继承的优缺点 1 3 继承中变量的访问特点 1 4 super 1 5 继承中构造方法的访问特点 1 6 继承中成员方法的访问特点 1 7 方法重写 1 8 方法重写的注意事项 1 9 java中继
  • 2021年南京大学842考研-软件工程部分简答题

    1 抽象和分解的关系 抽象和分解是软件设计的核心思想 分解是横向将系统分解为几个相对简单的子系统以及各子系统之间的关系 分解之后每次只需关注经过分解的相对简单的子系统及其相互间的关系 从而降低了复杂度 抽象则是在纵向上聚焦各自系统的接口 抽
  • MyBatis简介

    1 什么是 MyBatis MyBatis 是一款优秀的持久层框架 它支持自定义 SQL 存储过程以及高级映射 MyBatis 免除了几乎所有的 JDBC 代码以及设置参数和获取结果集的工作 MyBatis 可以通过简单的 XML 或注解来
  • c++ std::string截取子串

    std vector
  • 上传文件请求绕过网关

    一 问题描述 1 本例中 我需要请求上传文件微服务的接口 并且绕过Zuul网关 因为请求会携带图片 如果再通过网关的话 会非常浪费资源 2 图片上传是文件的传输 如果也经过Zuul网关的代理 文件就会经过多次网路传输 造成不必要的网络负担
  • 【附源码】计算机毕业设计Python安卓Android的问卷调查管理系统9q4d4(源码+程序+LW+调试部署)

    附源码 计算机毕业设计Python安卓Android的问卷调查管理系统9q4d4 源码 程序 LW 调试部署 该项目含有源码 文档 程序 数据库 配套开发软件 软件安装教程 项目运行环境配置 Python3 7 7 Django Mysql
  • 【有限元分析】有限元仿真分析与解析解的结果对比——以阶梯轴的静力分析为例

    1 对一个阶梯轴零件进行基于材料力学的理论计算 求解最大应力值 2 在WORKBENCH中对该阶梯轴零件进行有限元仿真 实行两种仿真方案 分别是1 梁模型建模 梁单元网格划分 2 实体模型建模 六面体单元网格划分 观察两种仿真结果并与理论计
  • Flask导入配置文件

    为什么要导入配置文件呢 我刚开始学习Flask的时候 觉得没这必要 所以直接忽略了 后来 app的配置越来越多 并且不同的py文件里的配置有重复 所以需要另外写一个配置文件 方便管理 官方文档上说的有3种方法 app config from
  • android 拍照上传照片

    废话不多说 直接进入主题 想要在android中实现拍照最简单饿方法就是New 一个 Intent 设置Action为android media action IMAGE CAPTURE 然后使用startActivityForResult
  • 锁存器、触发器和寄存器

    锁存器 锁存器 latch 对脉冲电平敏感 在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元 数据存储的动作取决于输入时钟 或者使能 信号的电平值 当锁存器处于使能状态时 输出才会随着数据输入发生变化 简单地说 它有两个输入 分别