第十六章 Chisel入门——搭建开发环境

2023-10-31

用于编写Chisel的Scala内容已经全部讲完了,下面就可以正式进入Chisel的学习之旅了。有兴趣的读者也可以自行深入研究Scala的其它方面,不管是日后学习、工作,或是研究Chisel发布的新版本,都会有不少的帮助。

在学习Chisel之前,自然是要先讲解如何搭建开发环境。因为目前还没有Windows系统的开发环境,所以读者最好有一个Linux系统的虚拟机,或者Mac OS的电脑。在这里,笔者以使用广泛的Ubuntu 16.04作为开发平台进行讲解。

一、Chisel的安装步骤

首先自然是要保证已经安装好了Scala。对于如何安装Scala,这里就不再赘述,可以参考第二章。接下来,执行以下安装步骤:

①安装Sbt。以下所有安装都只需要默认版本,通过命令安装即可。如果需要特定的版本,读者可以自行下载安装包安装。打开终端,执行命令:

esperanto@ubuntu:~$ sudo apt-get install sbt

等待安装完成后,可以用命令查看sbt的版本:

esperanto@ubuntu:~$ sbt sbtVersion
[info] Loading project definition from /home/esperanto/project
[info] Set current project to esperanto (in build file:/home/esperanto/)
[info] 1.2.6 

②安装Git,系统可能已经自带了。执行命令:

esperanto@ubuntu:~$ sudo apt-get install git

esperanto@ubuntu:~$ git --version
git version 2.7.4 

③安装Verilator。执行命令:

esperanto@ubuntu:~$ sudo apt-get install verilator 

esperanto@ubuntu:~$ verilator -version
Verilator 3.904 2017-05-30 rev verilator_3_904 

④从GitHub上克隆一个chisel-template文件夹。在想要安装chisel的目录下执行命令:

esperanto@ubuntu:~$ git clon

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

第十六章 Chisel入门——搭建开发环境 的相关文章

随机推荐

  • MPI_Bcast函数的用法

    程序功能 MPI Bcast函数用法 作成日期 2016 12 14 详细说明 include
  • AltiumDesigner如何绘制PCB封装

    AltiumDesigner如何绘制PCB封装 一 为什么要自己画PCB封装 当我们需要用的一些元器件PCB封装库中找不到的时候 我们就需要自己手动绘制一些元器件的PCB封装 当然也可以选择用相似的代替 但是在一些长场合中并不允许我们这样做
  • openwrt编译问题记录

    目录 1 git clone 速度太慢甚至断开连接 2 编译openwrt镜像make时发生错误 3 scripts feeds install a 时出现警告 1 git clone 速度太慢甚至断开连接 解决办法 在clone时将git
  • 如何显示文件后缀

    一 如何显示文件后缀 1 首先打开电脑上的本地磁盘D 2 然后点击组织 3 在弹出的窗口中点击文件夹和搜索 4 在弹出的窗口在点击查看 5 下拉滚动条 6 最后取消勾选隐藏已知文件类型的扩展名
  • 编程珠玑第三章习题5——英语中的连字符问题

    编程珠玑第三章习题5 英语中的连字符问题 问题 本问题将处理一小部分用连字符连接的英语单词方面的问题 下面的规则列表描述了一些以字母c结尾的单词的有效连字符连接 et ic al is tic s tic p tic lyt ic ot i
  • 使用Python语言实现凯撒密码的加密和解密

    使用Python语言实现凯撒密码的加密和解密 介绍 在密码学中 恺撒密码 英语 Caesar cipher 或称恺撒加密 恺撒变换 变换加密 是一种最简单且最广为人知的加密技术 它是一种替换加密的技术 明文中的所有字母都在字母表上向后 或向
  • Nginx配置https访问

    1 准备好证书和秘钥 如果是云服务器 可以到对应的云服务器上购买ssl证书 我这里是百度云 我以百度云为例子 直达链接 https console bce baidu com cas cas apply create 这个是免费1年的 可以
  • sql server数据库常见语句——增删查改

    一表数据增删查改 1 查询 select from 表名 select from test 2 新增 insert 表名 字段1 字段2 values 值1 值2 insert test a b values 1 2 3 修改 update
  • Meetup回顾|星策社区FeatureStore Meetup V2

    继2021年12月11日举办第一次Feature Store Meetup之后 星策开源社区于2022年4月10日举办了第二期 FeatureStore Meetup V2 本次活动邀请星策社区发起人 中国开源推进联盟副秘书长 开放原子基金
  • ADC外部RC电路电阻和电容选取计算方法

    ADC采样过程中遇到的问题 ADC是从模拟到数字世界的桥梁 当前ADC模块基本是MCU的标配 而且在转换速度和精度都有很好的表现 如NXP Kinetis KE15内部有2个16bit SAR型ADC模块 以精度制胜 可以配合EDMA完美实
  • vue实现音频audio列表循环,实现暂停播放切换

    功能界面如下 功能使用如下 首先根据后端返回的音频地址进行渲染 其中playAudioSrc字段用来控制暂停样式还是播放样式 audio进行隐藏 ul class virtualAvararFooterRightContentAudio l
  • vue局部注册指令和全局注册指令

    全局注册 1 目录下创建directive文件夹 然后下面就是各指令文件 还有一个总包括指令directive js 标识所有的指令都会引入到这里 import testA from testA import testB from test
  • STM32 CubeMx教程 -- 基础知识及配置使用教程

    文章目录 前言 一 STM32CubeMx 界面介绍 File 界面 Windows 界面 Help 界面 Updater Settings 界面 二 STM32CubeMx 使用教程 新建工程 配置RCC时钟参数 配置SYS参数 配置时钟
  • FPGA project : VGA

    module vga ctrl input wire vga clk input wire vga rst n input wire 15 00 pix data output wire hsync output wire vsync ou
  • 虚拟机使用前的一些小配置

    最近在学mongodb 为了能深入理解 所以我一边学一边整理一些乱七八糟的笔记 下载安装VMware Workstation Pro和Xshell7 以及获取镜像 创建虚拟机 安装CentOS7系统的过程就不多说了 反正现在的情况就是 我们
  • 【ARIMA-WOA-CNN-LSTM】合差分自回归移动平均方法-鲸鱼优化-卷积神经网络-长短期记忆神经网络研究(Python代码实现)

    欢迎来到本博客 博主优势 博客内容尽量做到思维缜密 逻辑清晰 为了方便读者 座右铭 行百里者 半于九十 本文目录如下 目录 1 概述 1 1 ARIMA模型 1 2 鲸鱼优化算法 1 3 卷积神经网络 1 4 LSTM 模型 2 运行结果
  • 【Unity3D游戏开发学习笔记】(四)一切都动起来—Animator组件的应用

    一 Animation简介 动画原本是指由许多连续的图片在人眼前面快速播放 肉眼因视觉残像产生错觉 而误以为画面活动的作品 但在 Unity3D 中的 Animation 动画 系统应该这样理解 用于为游戏者自动播放人物动作或自动演示物体运
  • Python小白菜&老手常犯的报错:requests.exceptions.JSONDecodeError: Expecting value: line 1 column 1 (char 0)

    人生苦短 我用python 最近最常被问到的报错问题就是 requests exceptions JSONDecodeError Expecting value line 1 column 1 char 0 某平台商品评论为例 源码 资料电
  • python orm开发模型_python的ORM模型SQLAlchemy

    SQLAlchemy 是python 操作数据库的一个库 能够进行 ORM 映射 SQLAlchemy采用简单的Python语言 为高效和高性能的数据库访问设计 实现了完整的企业级持久模型 本文在实例的基础上加上注释来解释如何利用SQLAl
  • 第十六章 Chisel入门——搭建开发环境

    用于编写Chisel的Scala内容已经全部讲完了 下面就可以正式进入Chisel的学习之旅了 有兴趣的读者也可以自行深入研究Scala的其它方面 不管是日后学习 工作 或是研究Chisel发布的新版本 都会有不少的帮助 在学习Chisel