Air101

2023-11-07

目录

1、合宙Air101

固件编译可参考:

PinOut(V2.1092400):

管脚映射表

PinOut(V2.1091800):

2、Air103

最新固件下载:

固件编译可参考:

PinOut(V3.21112201):

管脚映射表

资料链接

PinOut(V4.21121301):

3、合宙Air105

固件编译可参考:

管脚详细信息

PinOut(V2.22050801)

4、Air780E

固件编译可参考:

PinOut:

提醒:

5、Esp32C3

固件编译可参考:

PinOut:

​详细管脚描述

6、ESP32S3

固件编译可参考:

PinOut:

7、Air32F103

芯片对比

固件编译可参考

环境搭建教程

替换其他芯片说明

增强功能

PinOut:

daplink使用说明


1、合宙Air101

合宙Air101是一款QFN32 封装,4mm x 4mm 大小的mcu。通用串口波特率,设置波特率为921600。

固件编译可参考:

合宙Air103|自定义固件|在线云编译|本地编译|Windows|Linux|SoC|Git|luat_conf_bsp|elf| Info.json|FLS|官方demo|(1)、Air103编译_打酱油的工程师的博客-CSDN博客

PinOut(V2.1092400):

管脚映射表

GPIO编号

命名

默认功能及扩展功能

0

PA0

BOOT

1

PA1

I2C_SCL/ADC0

4

PA4

I2C_SDA/ADC1

7

PA7

GPIO/PWM4

16

PB0

GPIO/PWM0/UART3_TX

17

PB1

GPIO/PWM1/UART3_RX

18

PB2

SPI_SCK/PWM2/UART2_TX

19

PB3

SPI_MISO/PWM3/UART2_RX

20

PB4

SPI_CS/UART4_TX

21

PB5

SPI_MOSI/UART4_RX

22

PB6

UART1_TX

23

PB7

UART1_RX

24

PB8

GPIO

25

PB9

GPIO

26

PB10

GPIO

27

PB11

GPIO

35

PB19

UART0_TX

36

PB20

UART0_RX

开机时仅配置了BOOT和UART0_TX/RX,其他数字脚均为GPIO脚,状态为输入高阻.

ADC编号(LuatOS)

功能

0

模块ADC0-PA1

1

模块ADC1-PA4

10

CPU温度

11

内部电压

PinOut(V2.1091800):

2、Air103

合宙Air103是一款QFN56 封装,6mm x 6mm 大小的mcu. 本芯片与联盛德w806可互换.

注意:烧录前请设置波特率为921600

最新固件下载:

https://gitee.com/openLuat/LuatOS/releases

固件编译可参考:

合宙Air103|自定义固件|在线云编译|本地编译|Windows|Linux|SoC|Git|luat_conf_bsp|elf| Info.json|FLS|官方demo|(1)、Air103编译_打酱油的工程师的博客-CSDN博客p

PinOut(V3.21112201):

管脚映射表

GPIO编号

命名

默认功能及扩展功能

0

PA_00

BOOT

1

PA_01

GPIO_01 / ADC_1 / I2C_SCL

2

PA_02

GPIO_02 / ADC_3/ PWM_30

3

PA_03

GPIO_03 / ADC_2/ PWM_31

4

PA_04

GPIO_04 / ADC_0 / I2C_SDA

5

PA_05

GPIO_05

6

PA_06

GPIO_06

7

PA_07

GPIO_07/ PWM_04

8

PA_08

GPIO_08 / UART4_TX

9

PA_09

GPIO_09 / UART4_RX

10

PA_10

GPIO_10/ PWM_10

11

PA_11

GPIO_11 / PWM_11

12

PA_12

GPIO_12/ UART5_TX/ PWM_12

13

PA_13

GPIO_13/ UART5_RX/ PWM_13

14

PA_14

GPIO_14/ PWM_14

15

PA_15

GPIO_15 / PSRAM_CLK

16

PB_00

GPIO_16 / PWM_00 / UART3_TX

17

PB_01

GPIO_17 / PWM_01 / UART3_RX

18

PB_02

GPIO_18 / UART2_TX / PSRAM_D0 / SPI0_CLK / PWM_02

19

PB_03

GPIO_19 / UART2_RX / PSRAM_D1 / SPI0_MISO / PWM_03

20

PB_04

GPIO_20 / PSRAM_D2 / SPI0_CS

21

PB_05

GPIO_21 / PSRAM_D3 / SPI0_MOSI

22

PB_06

GPIO_22 / UART1_TX / SDIO_CLK

23

PB_07

GPIO_23 / UART1_RX / SDIO_CMD

24

PB_08

GPIO_24 / SDIO_D0

25

PB_09

GPIO_25 / SDIO_D1

26

PB_10

GPIO_26 / SDIO_D2

27

PB_11

GPIO_27 / SDIO_D3

28

PB_12

GPIO_28 / PWM_20

29

PB_13

GPIO_29 / PWM_21

30

PB_14

GPIO_30 / SPI1_CS/ PWM_22

31

PB_15

GPIO_31 / SPI1_CLK/ PWM_23

32

PB_16

GPIO_32 / SPI1_MISO / PWM_24

33

PB_17

GPIO_33 / SPI1_MOSI

34

PB_18

GPIO_34

35

PB_19

UART0_TX

36

PB_20

UART0_RX

37

PB_21

GPIO_37

38

PB_22

GPIO_38

40

PB_24

GPIO_40/ PWM_32

41

PB_25

GPIO_41/ PWM_33

42

PB_26

GPIO_42 / PWM_34

43

PB_27

GPIO_43 / PSRAM_CS

开机时仅配置了BOOT和UART0_TX/RX,其他数字脚均为GPIO脚,状态为输入高阻.

ADC编号(LuatOS)

功能

0

模块ADC0-PA1

1

模块ADC1-PA4

2

模块ADC2-PA3

3

模块ADC3-PA2

10

CPU温度

11

内部电压

资料链接

3、合宙Air105

一款QFN88 封装,10mm x 10mm 大小的MCU, 不仅提供UART/GPIO/I2C/ADC/SPI等基础外设,更提供DAC/USB/DCMI/HSPI/LCDI/KCU等高级外设接口,内置充电功能,支持5V/3.3V供电,同时自带5v转3.3V的LDO,4M字节Flash,640K字节RAM。内部flash起始地址0x01001000。

注意:烧录前请设置波特率为1500000 , 并勾选 “通用串口打印” , 否则刷机后日志会乱码.

LuatOS 主库代码 G:\bsp\Air105\LuatOS
Air101 适配代码 G:\bsp\Air105\luatos-soc-air105

检查点, 路径正确的情况下, 以下文件路径必存在, 找不到就肯定是命名问题, 手动添加是徒劳的 

G:\bsp\Air105\LuatOS\lua\src\lgc.c
G:\bsp\Air105\luatos-soc-air105\application\include\luat_conf_bsp.h

固件编译可参考:

合宙Air103|自定义固件|在线云编译|本地编译|Windows|Linux|SoC|Git|luat_conf_bsp|elf| Info.json|FLS|官方demo|(1)、Air103编译_打酱油的工程师的博客-CSDN博客

下图为Air105管脚定义,支持最多54个GPIO,每个IO都与外设复用管脚。每个GPIO均可配置为输入、输出、 中断模式,当作为输出时,每个IO输出值都可单独配置。IO支持强推挽输出/开漏输出模式。

管脚详细信息

(所有通用I/O复位后默认状态为上拉,电阻值51KΩ)

编号

名称

类型

复用功能

上下拉能力

备注

1

CVCC

P

NC

2

VDD33

P

最小电压2.7V,最大电压3.6V

3

VCC

P

可输入最小电压为3.6V,最大电压为5.5V

4

VDD33_OUT

P

芯片 3.3V 输出

芯片的输出能力约为400mA,外围负载使用芯片的3.3V时,要注意最大电流情况

5

NC

NC

6

PA7

I/O

GPIO7/SPI1_CSN

UP

7

PA6

I/O

GPIO6/SPI1_SCK

UP

8

PB2

I/O

GPIO18/SPI2_SCK

UP

9

PB3

I/O

GPIO19/SPI2_CSN

UP

10

PB4

I/O

GPIO20/SPI2_MOSI/UART2_CTS

UP

11

PB5

I/O

GPIO21/SPI2_MISO/UART2_RTS

UP

12

PE6

I/O

GPIO70//UART3_CTS/I2C0_SCL

UP

13

PE7

I/O

GPIO71/UART3_RTS/I2C0_SDA

UP

14

PE8

I/O

GPIO72/UART3_RX

UP

15

PE9

I/O

GPIO73/UART3_TX

UP

16

PE10

I/O

GPIO74/UART3_CTS

UP

17

PE11

I/O

GPIO75/UART3_RTS

UP

18

PA0

I/O

UART0_RX

串口下载

固定

19

PA1

I/O

UART0_TX

固定

20

PA2

I/O

GPIO2/UART0_CTS/PWM2

UP

21

PA3

I/O

GPIO3UART0_RTS/PWM3

UP

22

PB0

I/O

GPIO16/PWM0/XTAL32K

UP

23

PB1

I/O

GPIO17/PWM1/CLK_24M

UP/可配置输出24M

24

CHARGE_VBAT

P

CHARGE电源输出,接电池

可以给3.7V锂电池充电,最大充电电流200mA

25

CHARGE_VCC

P

CHARGE电源输入

可输入最小电压为4.7V,最大电压为5.4V

26

PD1

I/O

GPIO49/DCMIS_DATA0

UP

27

PD2

I/O

GPIO50/DCMIS_DATA1

UP

28

PD3

I/O

GPIO51/DCMIS_DATA2

UP

29

PD8

I/O

GPIO56/DCMIS_DATA3

UP

30

PD9

I/O

GPIO57/DCMIS_DATA4

UP

31

PD10

I/O

GPIO58/KeyBoard7/DCMIS_DATA5

UP

32

PD11

I/O

GPIO59/KeyBoard8/DCMIS_DATA6

UP

33

PE0

I/O

GPIO64/KeyBoard4/DCMIS_DATA7

UP

34

PD6

I/O

GPIO54/UART1_CTS/DCMIS_DATA8

UP

35

PD7

I/O

GPIO55/UART1_RTS/DCMIS_DATA9

UP

36

PC6

I/O

GPIO38/PWM4/DCMIS_DATA10

UP

37

PC7

I/O

GPIO39/PWM5/DCMIS_DATA11

UP

38

PC8

I/O

GPIO40/PWM6/DCMIS_DATA12

UP

39

PC9

I/O

GPIO41/PWM7/DCMIS_DATA13

UP

40

PE1

I/O

GPIO65/KeyBoard5/DCMIS_VSYNC

UP

41

PE2

I/O

GPIO66/KeyBoard6/DCMIS_HSYNC

UP

42

PE3

I/O

GPIO67/DCMIS_PIX_CLK

UP

43

PB12

I/O

GPIO28/SPI0_CLK

UP

44

VSS

GND

芯片地

45

PB13

I/O

GPIO29/SPI0_CSN

UP

46

PB14

I/O

GPIO30/SPI0_MOSI/UART1_CTS

UP

47

PB15

I/O

GPIO31/SPI0_MISO/UART1_RTS

UP

48

PC12

I/O

GPIO44/SPI5_MISO

UP

49

PC13

I/O

GPIO45/SPI5_MOSI

UP

50

PC14

I/O

GPIO46/SPI5_CSN

UP

51

PC15

I/O

GPIO47/SPI5_CLK

UP

52

VDD33

P

53

PD13

I/O

GPIO61/UART2_TX/KeyBoard1

UP

54

PD12

I/O

GPIO60/UART2_RX/KeyBoard0

UP

55

PD15

I/O

GPIO63/UART2_RTS/KeyBoard3

UP

56

PD14

I/O

GPIO62/UART2_CTS/KeyBoard2

UP

57

NC

NC

58

NC

NC

59

NC

NC

60

NC

NC

61

REFP

O

接1uF电容到地

62

PC5

I/O

GPIO37/ADC_IN6/CLK_27P12

可配置输出27.12M

63

PC4

I/O

GPIO36/ADC_IN5/XTAL32K

UP

64

PC3

I/O

GPIO35/ADC_IN4/UART1_RTS

UP

65

PC1

I/O

GPIO33/ADC_IN2/DAC/UART1_TX

数字音频转换为模拟音频接口

66

PC0

I/O

GPIO32/ADC_IN1/UART1_RX

UP

67

VDD25

O

接1uF对地电容

68

DN

I

注意保持差分走线,阻抗做好90 Ohm控制

69

DP

O

70

VBUS

I

串接100Ω电阻抗浪涌

71

VDD33

P

最小电压2.7V,最大电压3.6V

72

XO12M

0

XTAL 12MHz Output

芯片支持内部12MHz振荡器和外置12MHz晶体,使用外置12MHz晶体时的芯片对接口,可以参考下文中的时钟电路

73

XI12M

1

XTAL 12MHz Input

同上

74

VDD12

O

接1uF对地电容

75

AVD33

P

最小电压2.7V,最大电压3.6V

76

XI32

I

XTAL 32KHz Input

芯片支持内部或外部的32KHz输出,使用外部32KHz电路可以参考下文中的时钟电路

77

XO32

O

XTAL 32KHz Output

同上

78

NC

NC

79

NC

NC

80

NC

NC

81

NC

NC

82

NC

NC

83

NC

NC

84

VBAT33

P

纽扣电池

85

PA5

I/O

GPIO5/CLK_24M

可配置输出 24M

86

PA8

I/O

GPIO8/SPI1_MOSI

复用为 IO 时必须先 打开IC 卡电源,且输 出信号的高电平为IC 卡输出电平

87

PA9

I/O

GPIO9/SPI1_MISO

同上

88

PA10

I/O

GPIO10

同上

注意:I、代表输入;O、代表输出;P、代表电源

注意: LuatOS固件下, PWM6/7 不可用

PinOut(V2.22050801)

3个LED分别为 PD14/PD15/PC3

注意:烧录前请设置波特率为1500000 , 并勾选 “通用串口打印” , 否则刷机后日志会乱码.

4、Air780E

合宙通信推出的 LTE Cat.1 bis通信模块,采用移芯EC618平台,支持4G全网通。

提醒:

  1. 标红色的是AON_GPIO, 在休眠模式下也可以使用. 非休眠模式下就是普通GPIO

  2. UART2与SPI0复用 GPIO11/GPIO10,没有标错

  3. DBG即UART0, 是底层日志输出口, 强烈建议不要尝试复用它

  4. UART1是主串口, 也支持下载串口, 强烈建议不要复用成GPIO

  5. AON_GPIO的驱动能力均很弱!!

  6. GPIO均不支持”双向触发”,只支持单向触发

  7. PWM的最高频率是13M

  8. 图中的LCD SPI只是约定排序, 其实就是普通SPI,非”专用”SPI

  9. 开发板的IO电平为3.3v, 模块本身可配置1.8v/3.3v

  10. SPK是扬声器输出, 需要外接功放, 否则声音很小

  11. PWM可用通道共4个, 分别是 0/1/2/4, 但分别由2种映射.后面有小节说明

5、Esp32C3

ORE ESP32核心板是基于乐鑫ESP32-C3进行设计的一款核心板,尺寸仅有21mm*51mm,板边采用邮票孔设计,方便开发者在不同场景下的使用。核心板支持UART、GPIO、SPI、I2C、ADC、PWM等接口,可根据实际需要选择。

日志波特率为921600。ESP32C3可解锁使用IO11,可参考:ESP32C3解锁使用IO11_esp32 io_打酱油的工程师的博客-CSDN博客

固件编译可参考:

合宙ESP32系列|自定义固件|在线云编译|本地编译|Windows|luat_conf_bsp.h|(2)、CORE-ESP32S3编译_打酱油的工程师的博客-CSDN博客

  • 尺寸长宽 21mm*51mm

  • 1路SPI FLASH,板载4MB,支持最高 16MB

  • 2路UART接口,UART0~UART1,其中下载口为UART0

  • 5 路 12 比特 ADC,最高采样率 100KSPS

  • 1路低速SPI接口,支持主模式

  • 1路IIC控制器

  • 4路PWM接口,可使用任意GPIO

  • GPIO外部管脚15路,可复用

  • 2路贴片LED指示灯

  • 1路复位按键+1路BOOT按键

  • 1路USB转TTL下载调试口

  • 2.4G PCB板载天线

  • PinOut:

​详细管脚描述

编号

名称

复位后默认功能

复用功能

电源域

上下拉能力

32

GND

接地

31

5V

5V电源接口,与USB的VBUS相连

30

BOOT

GPIO09,输入

BOOTMODE

VDD3P3_CPU

UP/DOWN

29

IO08

GPIO08,输入,输出,高阻

VDD3P3_CPU

UP/DOWN

28

IO04

GPIO04,输入,输出,高阻

I2C_SDA/ADC_4

VDD3P3_RTC

UP/DOWN

27

IO05

GPIO05,输入,输出,高阻

I2C_SCL/ADC_5

VDD3P3_RTC

UP/DOWN

26

3.3V

芯片电源,3.3V

25

GND

接地

24

PB_11

GPIO11,输入,输出,高阻

VDD_SPI

VDD3P3_CPU

UP/DOWN

23

IO07

GPIO07,输入,输出,高阻

SPI2_CS

VDD3P3_CPU

UP/DOWN

22

IO06

GPIO06,输入,输出,高阻

VDD3P3_CPU

UP/DOWN

21

IO10

GPIO10,输入,输出,高阻

SPI2_MISO

VDD3P3_CPU

UP/DOWN

20

IO03

GPIO03,输入,输出,高阻

SPI2_MOSI/ADC_3

VDD3P3_RTC

UP/DOWN

19

IO02

GPIO02,输入,输出,高阻

SPI2_CK/ADC_2

VDD3P3_CPU

UP/DOWN

18

3.3V

芯片电源,3.3V

17

GND

接地

16

5V

5V电源接口,与USB的VBUS相连

15

PWB

芯片3.3V供电控制,高电平有效,不用可悬空

14

GND

接地

13

3.3V

芯片电源,3.3V

12

RESET

芯片复位

VDD3P3_RTC

11

NC

10

IO13

GPIO13,输入,输出,高阻

VDD3P3_CPU

UP/DOWN

09

U0_RX

GPIO20,输入,输出,高阻

UART0_RX

VDD3P3_CPU

UP/DOWN

08

U0_TX

GPIO21,输入,输出,高阻

UART0_TX

VDD3P3_CPU

UP/DOWN

07

GND

接地

06

IO19

GPIO19,输入,输出,高阻

USB_D+

VDD3P3_CPU

UP/DOWN

05

IO18

GPIO18,输入,输出,高阻

USB_D-

VDD3P3_CPU

UP/DOWN

04

IO12

GPIO12,输入,输出,高阻

SPIHD

VDD3P3_CPU

UP/DOWN

03

IO01

GPIO1,输入,输出,高阻

UART1_RX/ADC_1

VDD3P3_CPU

UP/DOWN

02

IO00

GPIO0,输入,输出,高阻

UART1_TX/ADC_0

VDD3P3_CPU

UP/DOWN

01

GND

接地

  • 任意GPIO均可作为PWM脚, 编号与GPIO一致, 但同时只能开启4路PWM,务必注意

6、ESP32S3

CORE ESP32S3核心板是基于乐鑫ESP32-S3进行设计的一款核心板,尺寸仅有21mm*51mm,板边采用邮票孔设计,方便开发者在不同场景下的使用。核心板板载2.4G天线,支持wifi和蓝牙。核心板内置8MB psram,16MB flash豪华配置。板载ch343p USB转串口芯片,方便下载烧录;同时还设计了模拟开关电路,可一键切换到S3内置的USB,进行USB功能的开发调试。核心板支持UART、GPIO、SPI、I2C、ADC、PWM,SDIO,Camera等接口,可根据实际需要连接外设进行调试。

日志波特率为921600。

固件编译可参考:

合宙ESP32系列|自定义固件|在线云编译|本地编译|Windows|luat_conf_bsp.h|(2)、CORE-ESP32S3编译_打酱油的工程师的博客-CSDN博客

  • ESP32S3采用Xtensa® 32 位 LX7 双核处理器,五级流水线架构,主频高达240M。内置512K SRAM,本次发布型号和封8MB psram。

  • 4 × SPI

  • 1 × LCD 接口(8 位 ~16 位并行 RGB, I8080, MOTO6800), 支持 RGB565, YUV422, YUV420, YUV411 之间互相转换

  • 1 × DVP 8 位 ~16 位摄像头接口

  • 3 × UART

  • 2 × I2C

  • 2 × I2S

  • 1 × RMT (TX/RX)

  • 1 × 脉冲计数器 – LED PWM 控制器,多达 8 个通道

  • 1 × 全速 USB OTG

  • 1 × USB Serial/JTAG 控制器

  • 2 × MCPWM

  • 1 × SDIO 主机接口,具有 2 个卡槽

  • 通用 DMA 控制器 (简称 GDMA),5 个接收通 道和 5 个发送通道

  • 1 × TWAI® 控制器,兼容 ISO 11898-1(CAN 规范 2.0)

  • 2 × 12 位 SAR ADC,多达 20 个通道

  • PinOut:

​任意GPIO均可作为PWM脚, 编号与GPIO一致, 但同时只能开启8路PWM,务必注意

7、Air32F103

为系列芯片,首发型号为Air32F103CBT6,其外设和硬件设计兼容市场上一些主流F103型号,主频最高可达216M,32K RAM+128K Flash,每个IO都可设置独立的内部上下拉电阻。详细信息见数据手册,Air32F103芯片手册

日志波特率为921600。使用可参考官方wiki:Air32F103使用手册 - LuatOS 文档

寄存器手册air32f103xx_寄存器手册.pdf

芯片对比

区别

STM32F103C6T6

STM32F103C8T6

AIR32F103CBT6

AIR32F103CCT6

Flash

32K

64K

128K

256K

RAM

10K

20K

96K

96K

主频

72M

72M

256M

256M

定时器

3个(没有定时器4)

4个

10个

10个

ADC

2(10通道)

2(10通道)

3(16通道)

3(16通道)

DAC

2(2通道)

2(2通道)

固件编译可参考:Air32F103CBT6|CCT6|KEIL-uVsion5|本地编译|STClink|(6)、Air32F103编译下载_打酱油的工程师的博客-CSDN博客

环境搭建教程

Air32F103使用手册

AIR32F103的SDK与demo

替换其他芯片说明

遇到替换完不能工作,或者CubeMX HAL库开发有问题的,一定先阅读下面的手册

使用Air32F103替换其他F103芯片说明从SXX32F103移植到AIR32F103

增强功能

具体使用方法可以看 强化功能说明

强化GPIO设计:

支持独立上下拉控制(40K),当 IO为复用功能时,可代替外部电路电阻。

例如:

使用SDIO模块时,D0-D3和CMD可用内部上拉电阻;

使用IIC时,当IIC速率小于等于100K,可用内部上拉电阻。

USB内部可选1.5K上拉电阻:

USB内部DP可选1.5K上拉电阻,可替代外部电路上拉电阻;并且可以实现软件重枚举(无需PCB外部加三极管控制)。

支持多种CRC模式:

可选择输入Byte大小端是否翻转、计算结果高低位是否翻转、计算结果是0xFFFFFFFF异或、CRC16/32、CRC16 多项式。

MCO支持输出PLL 2-16分频输出:

更灵活的时钟输出配置,除原有输出源外,另支持输出PLL 2-16分频输出。

支持SM1/SM3/SM4/SM7国密算法;

PinOut:

daplink使用说明

开发板出厂默认为daplink固件,固件支持对外控制调试下载功能,并且支持U盘模式烧录和虚拟串口,引脚对应关系如下:

引脚

功能

PB13

SWD_CLK(daplink固件对外控制)

PB14

SWD_DIO(daplink固件对外控制)

PB0

RST复位(daplink固件对外控制)

PA2

虚拟串口的TX

PA3

虚拟串口的RX

PB13连接被调试设备的SWDCLK,PB14连接被调试设备的SWDIO,PB0连接被调试设备的复位:

整体连接图如下图所示:

中间绿色的灯指示与被连接设备的通信情况。插入电脑后会显示一个名为daplink的U盘,一个COM口,以及一个便携设备daplink。在keil或其他开发软件中选择调试器为CMSIS DAP即可。目前支持的开发环境如下:

  • KEIL MDK

  • IAR

  • PyOCD

  • 其他支持CMSIS-DAP协议的软件

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Air101 的相关文章

  • VTK相机类vtkCamera原理及用法

    vtk是著名的开源三维渲染库 在三维渲染过程中的一个非常重要的内容就是相机即vtkCamera类的设置 在VTK中 相机的实质是一个观测点 VTK的官方Doc对vtkCamera写的十分简略 暗坑很多 在学习和使用vtkCamera的过程中
  • PostgreSQL报pg_dump: no matching tables were found错误

    刚导出表时 发现找不到这个表 但是表是存在的 所以找了一圈 发现是要加 才行 例如 T TABLES

随机推荐

  • python中input()和raw_input()的区别

    两者均是python的内置函数 通过读取控制台的输入与用户实现交互 raw input 将所有输入作为字符串看待 不管用户输入什么类型的都会转变成字符串 raw的含义就是 生的 未加工的 gt gt gt s1 raw input abc
  • Cadence学习篇(1) Cadence原理图工程以及原理图库的创建

    文章目录 前言 一 创建原理图库 1 1新建工程 1 2 设置原理图板框 1 3 设置原理图栅格 二 添加多个原理图 2 1 原理图重命名 2 2 原理图编页码 三 放置元器件 3 1 添加库 3 2 连线 四 保存工程文件 4 1 新建原
  • Larave5.7使用Mailable发送邮件

    现在很多网站都有发送邮件验证身份的功能 所以介绍一下Laravel中邮件发送的方法 Laravel框架中为我们绑定了Mailable服务 我们只需要配置好参数 然后使用该服务即可 配置邮件服务器 我们发送邮件需要有一个stmp服务器 现在有
  • Sublime实现自动排版

    sublime功能很强大 但是使用sublime就可以实现代码自动重新缩进 使代码缩进重排 方法 Ctrl A选中全部内容 然后在菜单中选择Edit gt Line gt Reindent
  • 苹果发布AirTag新固件更新:增加了反跟踪增强功能

    Apple今天发布了专为AirTags设计的1 0 27 固件的新版本 这是对 6 月份提供的更新的修订 新的 AirTags 1 0 276 固件的内部版本号为 1A287b 而旧固件的内部版本号为 1A276d 6 月份发布的 1 0
  • k8s dashboard 报错 Error: 'dial tcp 172.168.56.2:9090: getsockopt: connection refused'

    访问web http 192 168 56 101 8080 ui Error dial tcp 172 17 26 2 9090 getsockopt connection refused 排查方法 1 需要检查apiserver的地址设
  • hive多窗口遇到java.sql.SQLException 异常

    hive多窗口遇到java sql SQLException 异常 多打开一个客户端窗口启动 hive 会产生 java sql SQLException 异常 文章目录 hive多窗口遇到java sql SQLException 异常
  • 【沉浸式腾讯云服务器部署安装docker】

    重置密码 sudo passwd root lighthouse VM 12 2 centos sudo passwd root Changing password for user root New password Retype new
  • asp.net zero 8.2 学习-11-Metronic替换google字体,加速网页加载速度

    asp net zero 8 2使用的前端模板是Metronic6 0以上版本 官网的Metronic下载下来 打开很慢主要是加载googole字体耗费时间 这是我之前写的如何在Metronic中替换google字体 Metronic是一款
  • 使用STM32F4XX自带数学库“arm_math.h“

    使用STM32F4XX自带数学库 arm math h STM32 F4属于Cortex M4F构架 这与M0 M3的最大不同就是具有FPU 浮点运算单元 支持浮点指令集 因此在处理数学运算时能比M0 M3高出数十倍甚至上百倍的性能 但是要
  • 什么是低信噪比图像及处理方法

    信号处理领域的信噪比即SNR Singal to Noise Ration 又称讯噪比 即放大器的输出信号的电压与同时输出的噪声电压的比 常常用分贝数表示 设备的信噪比越高表明它产生的杂音越少 一般来说 信噪比越大 说明混在信号里的噪声越小
  • python (一维、二维)列表的初始化

    一维列表的初始化 初始一个长度为5的列表 方式1 a 0 5 0 0 0 0 0 方式2 a 0 for in range 5 0 0 0 0 0 二维列表的初始化 初始一个2 5的列表 方式1 b 0 5 for in range 2 0
  • Hibernate环境搭建(小实例)

    Hibernate是一个开源的对象关系映射框架 在学习之前 首先让我们先了解一下Hibernate环境是如何搭建的 废话不多说 直接进入正题 建项目 引Jar包 首先 我们需要创建一个Java项目 创建好项目之后 就需要引入与Hiberna
  • unity的HDR效果

    http blog csdn net wolf96 article details 44057915 文章开始先放两组效果 文章结尾再放两组效果 本文测试场景资源来自浅墨大神 shader效果为本文效果 HDR 人们有限的视觉系统 只支持1
  • 【DS】单链表@线性表 —— 增删查改

    目录 0 引 1 链表的概念和结构 2 链表的分类 3 链表的实现 3 1 打印 申请新节点 销毁 3 1 1 打印 3 1 2 申请新节点 3 1 3 销毁 3 2 尾插 尾删 3 2 1 尾插 3 2 2 尾删 3 3 头插 头删 3
  • 物联网场景中,我们如何选择时序数据库 ?

    如今时序数据的应用场景十分广泛 许多类型的数据都是时间序列数据 金融市场交易 传感器测量 水冷 高温 地震 服务器监控 CPU 内存 磁盘 资源消耗 能源 电力 人体健康 心率 血氧浓度 网络访问 通过保留数据固有的时间序列性质 我们可以记
  • mysql:ER_TRUNCATED_WRONG_VALUE_FOR_FIELD: Incorrect string value:

    发现某个组件的表单输入报错 Error ER TRUNCATED WRONG VALUE FOR FIELD Incorrect string value xE6 x88 x91 xE4 xBB xAC for column content
  • 【算法与数据结构】235、LeetCode二叉搜索树的最近公共祖先

    文章目录 一 题目 二 解法 三 完整代码 所有的LeetCode题解索引 可以看这篇文章 算法和数据结构 LeetCode题解 一 题目 二 解法 思路分析 本题和这道题类似 算法与数据结构 236 LeetCode二叉树的最近公共祖先
  • Linux下Samba的配置

    参考 http www cnblogs com mchina archive 2012 12 18 2816717 html 前言 为了实现windows 和 Linux以及其他操作系统之间的资源共享 软件商推出nfs 和samba两种解决
  • Air101

    目录 1 合宙Air101 固件编译可参考 PinOut V2 1092400 管脚映射表 PinOut V2 1091800 2 Air103 最新固件下载 固件编译可参考 PinOut V3 21112201 管脚映射表 资料链接 Pi