Xilinx FIFO Generator 需要注意RST复位

2023-11-13

Xilinx FIFO Generator 需要注意RST复位

系列文章推荐

  1. Xilinx FIFO Generator 需要注意RST复位
  2. Xilinx FIFO Generator 需要注意Actual Depth
  3. Xilinx FIFO Generator 需注意非对称位宽
  4. Xilinx FIFO Generator 需要注意BRAMs的资源消耗

记录在使用Xilinx的FIFO Generate的时候遇到的问题(modelsim仿真和ILA都能遇到);

——full,empty 等信号一直keep high;

直接原因

以下内容说的都是Asynchronous Reset

在手册pg057-fifo-generator.pdf,Page127中有介绍:

在这里插入图片描述

拙劣的翻译:

进行复位操作的时候,读写时钟必须是有效的。不管什么原因,在复位操作的时刻,读写时钟如果丢失,必须在读写时钟有效的时刻再次进行复位操作。违反此操作将导致不可预期的行为发生。甚至,信号busy会被卡住,并可能需要重新配置FPGA。

其他建议

文中后续也有对异步复位的建议:

在这里插入图片描述

拙劣的翻译:

如果异步复位的信号宽度是一个慢时钟宽度(应该是读写时钟中较慢的那一个),而且是在非常靠近满时钟上升沿的时候断言,那么复位检测就可能不会遇到这种导致无法预期的行为发生情况。为了避免这种情况,尽管在本手册中在一些时候说断言慢时钟的一个周期,但通常建议异步复位信号断言至少三个慢时钟周期。

文中有个定义C_SYNCHRONIZER_STAGE不理解什么意思,有知道的可以评论交流。

时序

在手册中也给出了建议的复位时序:

在这里插入图片描述

需要注意:FIFO的所有输出信号在图中的No Access Zone期间必须视为无效;

可以观察到时序:

  1. RST信号有8个慢时钟周期的高电平;
  2. 在RST上升沿时期,7个wr_clk周期后WR_RST_BUSY信号拉高,FULL信号拉高,此时的wr_en信号应该拉低;
  3. 在RST上升沿时期,7个rd_clk周期后RD_RST_BUSY信号拉高,EMPTY信号拉高,此时的rd_en信号应该拉低,而且此时的数据输出端口均为无效;
  4. 复位周期 + 60个满时钟周期后,FIFO可以正常读写;

手册中也给出了一个不安全的时序图:

在这里插入图片描述

这个图中最明显的就是Async Reset的复位周期为3个慢时钟周期。

这样的时序情况下可能会引起一些异常(但是这个时序后面FIFO还是可以进行读写工作的)。

仿真

在modelsim仿真的时序中,如果FIFO的复位出现在了wr_clk或者rd_clk之前,那FIFO是不能进行读写的(注意FULL信号和EMPTY信号是会强行拉高的):

在这里插入图片描述

而后,再次进行FIFO的复位,FULL和EMPTY信号恢复正常,FIFO可以正常读写了:

在这里插入图片描述

总结

用FIFO IP的时候要注意 RST信号,建议满足:

1. 有效复位必须在wr_clk和rd_clk有效之后;
2. 有效复位至少要维持慢时钟的8个周期;
3. 复位操作过后,建议要等待至少60个慢时钟周期,再去使用FIFO进行读写操作;

按照这三个条件,对FIFO的RST进行配置,目前还没遇到问题,如有问题,评论沟通。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Xilinx FIFO Generator 需要注意RST复位 的相关文章

随机推荐

  • mysql底层数据存储原理

    一 前言 1 1 数据存储在哪里 操作系统从寄存器中读取数据是最快的 因为它离CPU最近 但是寄存器有个非常致命的问题是 它只能存储非常少量的数据 设计它的目的主要是用来暂存指令和地址 并非存储大量用户数据的 内存的大小虽然比寄存器大 但是
  • Java 封装、继承、多态的理解

    更好的阅读体验 Java 封装 继承 多态的理解 1 封装 封装 就是隐藏对象的属性和实现细节 仅对外提供公共访问方式 让使用者知道的才暴露出来 不需要让使用者知道的全部隐藏起来 封装的好处 避免使用者直接操作属性值 隐藏类的实现细节 让使
  • python函数可变参数问题

    函数参数 必选参数 默认参数 可选参数 关键字参数 1 有默认参数和可变参数 argment def test a b 0 c print a b c test 1 2 运行结果 1 2 由此可以看出参数是按顺序传递的 不管参数是不是有默认
  • wiredtiger java_Mongodb WT_ERROR:非特定的WiredTiger错误,

    我试过这个命令 mongod repair dbpath storageEngine wiredTiger并收到同样的错误 Mongo版本v3 4 9 当我尝试启动指向现在损坏的数据库的mongo时出现错误 E STORAGE initan
  • 科技云报道:5G还未普及,6G已经来了?

    科技云报道原创 2021年 相信大部分人仍在用着4G网络 甚至都没明白什么是5G 就开始听到6G的消息了 近日 国家知识产权局知识产权发展研究中心发布了 6G通信技术专利发展状况报告 对6G关键技术的专利发展情况进行深度解读 报告显示 当前
  • mysql状态下,命令行清空指令

    mysql状态下 命令行清空指令
  • attention机制_【CV中的Attention机制】SelectiveKernelNetworksSE进化版

    前言 SKNet是SENet的加强版 是attention机制中的与SE同等地位的一个模块 可以方便地添加到现有的网络模型中 对分类问题 分割问题有一定的提升 作者 pprp 编辑 BBuf 1 SKNet SKNet是SENet的加强版
  • QT中QLoggingCategory类的作用

    使用前须知 include category 美 k t ri 种类 类别 使用举例 QLoggingCategory setFilterRules QStringLiteral qt modbus true 作用总结 控制打印输出类别和区
  • html5 first child,childfirst

    在html里 firstchild 有什么作用 表示对第一个字对象的引用 如 DIV的子对象 alert document getElementById abc firstChild tagname 返回SPAN alert documen
  • vcruntime140_1.dll丢失的详细解决办法

    今天准备打开CAD跟ps时候 当打开我自己的ps软件后 弹出了一个对话框 内容是 由于找不到vcruntime140 1 dll 无法继续执行代码 重新安装程序可能会解决此问题 我很纳闷 前几天还好好着呢 于是我上网上查了一下 成功解决了问
  • signature=0e936ad5c99bd8d603e71fa74e787bee,JavaScript 的 BASE64 算法 实现 完美解决中文乱码...

    收藏的Base64编码 解码 在一个外国网站看到的 不过对中文不支持 自己加入了escape 对中文也支持了 先用escape 对中文进行编码 然后再进行base64编码 解码时 再加入 对中文进行解码 p gt W3C DTD HTML
  • URL 链接中 #、?、连接符& 分别有什么作用?

    在一个 URL 中可以包含很多的内容 其中不仅仅是包含 26 个英文字母 10 个罗马数字 中文汉字 还可以拥有井号 问号 连接符 等三种最常见的符号 那么这些符号在网站中都有哪些作用呢 文章目录 一 井号 二 问号 三 连接 一 井号 井
  • Qt:十六进制字符串和十六进制互转

    Qt 十六进制字符串和十六进制互转 前言 一 字符串转换十六进制 1 封装函数 2 函数调用示例 二 16进制转换字符串 前言 网上查了不少方式 踩了不少坑 最终这个方式是我目前使用感觉较好的一种 具体出处已经没印象了 这里放出完整代码供大
  • Spring(二)IOC容器的初始化流程

    文章目录 一 Spring 核心容器类 1 1 BeanFactory 1 2 ApplicationContext 1 3 BeanDefinition 二 IOC容器的初始化 2 1 基于Xml的IOC容器的初始化 2 1 1 寻找入口
  • 15个顶级Java多线程面试题及答案

    1 现在有T1 T2 T3三个线程 你怎样保证T2在T1执行完后执行 T3在T2执行完后执行 这个线程问题通常会在第一轮或电话面试阶段被问到 目的是检测你对 join 方法是否熟悉 这个多线程问题比较简单 可以用join方法实现 2 在Ja
  • LLM在放射科学中应用潜力

    本论文在全球范围内评估了 31 个大型语言模型 LLM 在解读放射科报告并从放射学发现中推导出诊断信息 impression 任务上的表现 这是目前已知的对全球 LLM 用于放射科学自然语言处理 NLP 进行的最全面评估之一 该研究通过在这
  • 8款常见的自动化测试开源框架

    在如今开源的时代 我们就不要再闭门造车了 热烈的拥抱开源吧 本文针对性能测试 Web UI 测试 API 测试 数据库测试 接口测试 单元测试等方面 为大家整理了github或码云上优秀的自动化测试开源项目 希望能给大家带来一点帮助 一 性
  • 运维体系的构建

    文章目录 一 前言 二 基础 2 1 项目摸底 2 2 做一个好辅助 2 3 学习业务 2 4 标准与流程 2 5 维护 三 进阶 3 1 系统 服务优化 3 2 工作流程优化 3 3 规矩 3 4 运维管理平台 一 前言 运维的基础工作通
  • php lazy loading,React丨用户体验丨hook版 lazy loading

    我们都知道随着单页应用 bundle 的体积不断增大 会造成首次加载时间过长 白屏时间过长 过程中会加载了我们首页没有必要看到的一些 页面 组件 js文件 所以我们需要对 bundle 文件进行拆分来进行按需加载 懒加载 这里需要用到 we
  • Xilinx FIFO Generator 需要注意RST复位

    Xilinx FIFO Generator 需要注意RST复位 系列文章推荐 Xilinx FIFO Generator 需要注意RST复位 Xilinx FIFO Generator 需要注意Actual Depth Xilinx FIF