stm32 的 ESP8266 wifi 模块 (ESP - 12s) 的使用

2023-11-17

1. ESP8266 的器件介绍



2. ESP2866外设  的引脚 



3. 我所用的的ESP2866 的引脚图

4. 代码 编程的串口

5.wifi 的指令

1. AT     测试指令

2. AT+RST   重启模块

3. AT+GMR  查看版本信息

4. AT+RESTORE   恢复出厂设置

5.  AT+UART=115200,8,1,0,0   串口设置  串口号, 数据位, 停止位, 

6. AT+CWMODE指令 

    
(AT+CWMODE=2)设置WIFI模式为AP模式,也就是充当热点模式
AT+CWMODE=1 为 Station 模式,
AT+CWMODE=3 位 AP+Station 模式



AT+CWMODE=1  

        这是设置STA模式,延时2.5s

这个命令发出去之后,会得到返回的信息:

 AT+CWMODE=1  0x0d 0x0d 0x0a 0x0d 0x0a  OK 0x0d 0x0a

注意:这是一条字符串,中间是没有空格的,0x0d与0x0a是换行和回车的ascii码,其实就是字符’/r’  ‘/n’

 AT+CWMODE=1 使我们发出去的命令,但是同样返回了,这个叫回显。回显是可以通过命令关闭的,感兴趣的可以自己去查查ESP8266的AT命令表。我们这里为了调试不关闭回显,下面也不再对这个作解释。

不同的设备可能会有差异,但是成功了肯定是有OK的。

7. AT+CWJAP指令

:AT+CWLAP,延时1s

     这个命令发出去返回的字符串很长,我们不详细列出,简单讲解一下。

 这条命令的意思是列出现在能够查到的wifi信号。你可以仔细看一看,你的无线信号都会成字符串列在其中。在整个字符串的最后,同样会有OK
AT+CWJAP="szitcast","1234567890"
//连接wifi  名称  密码

8.  各种模式

 

ESP8266连接WIFI,也就是上网用的无线信号:

   我们这里的无线信号为:TP-LINK_EYELAKE    密码:123456789

第一步:ESP8266 复位

       复位分两种,第一种是由AT指令实行:AT+RST,延时2s

   第二种由硬件执行:此处不做详细说明,这是各个模块的硬件设计决定的。

我们建议使用第一种。

这个命令不会返回什么信息

 

第二步:AT+CWMODE=1  

        这是设置STA模式,延时2.5s

这个命令发出去之后,会得到返回的信息:

 AT+CWMODE=1  0x0d 0x0d 0x0a 0x0d 0x0a  OK 0x0d 0x0a

注意:这是一条字符串,中间是没有空格的,0x0d与0x0a是换行和回车的ascii码,其实就是字符’/r’  ‘/n’

 AT+CWMODE=1 使我们发出去的命令,但是同样返回了,这个叫回显。回显是可以通过命令关闭的,感兴趣的可以自己去查查ESP8266的AT命令表。我们这里为了调试不关闭回显,下面也不再对这个作解释。

不同的设备可能会有差异,但是成功了肯定是有OK的。

 

第三步:AT+CWLAP,延时1s

     这个命令发出去返回的字符串很长,我们不详细列出,简单讲解一下。

 这条命令的意思是列出现在能够查到的wifi信号。你可以仔细看一看,你的无线信号都会成字符串列在其中。在整个字符串的最后,同样会有OK

 

第四步:AT+CIPMUX=0 , 设置成单路连接模式,延时1s

 

第五步: AT+CWJAP="TP-LINK_EYELAKE", "123456789"

         这一步便是连接wifi,延时的时间要长一些,否则会等不到返回的信息。我们测试时延时18s,成功了会有OK的返回。

你可以将这步的延时时间改了,进入调试状态,看存储器,会发现接收了一半就没有了,所以这里延时的时间很重要。

 这一命令发出去后,会立刻受到一个WIFI DISCONNECTED 的字符串,不用急,等一会会有WIFI CONNECTED 的字符串,连上网络是需要一定的时间的。

 

 

ESP8266连接TCP,也就是连接服务器:

1.AT+CIPSTART= "TCP", “10.10.150.222”, 61613

        这一步的参数需要根据自己的ip的地址来设置,成功了会返回OK。延时4秒

 

2.  AT+CIPMODE=1

     AT+CIPSEND

      这两个依次发出去。

      第一句的意思是设置为透传模式,第二句 则是进入透传模式。进入透传模式成功,会返回‘>’符号。

      一旦进入透传模式,那么发送AT命令就失效了。

      这两个命令各延时2s,我们建议第一步之后再延时一秒,更加稳定,这里需要根据自己的代码和硬件进行调试。

 

 

 ESP8266设置成服务器,通俗点讲,就是ESP8266设置一个热点:

1.AT+RST  复位

2.AT+CWMODE=2  设置为AP模式

3.AT+RST

这里需要注意,第一步的复位是退出其他的设置,准备AP设置。

而这一步的复位是必须加的,否则第二步的设置就没有用

4.AT+CWSAP=”ESP8266","123456",1,4

这不就是设置ESP8266的热点名称和密码了,参数可以去查AT命令表是什么意思。

5.AT+CIPMUX=1

6.AT+CIPSERVER=1,8086

   AT+CIPSTO=5000

     第一条指令是设置本地端口号,也就是之后你连接上这个热点后,需要设置的一个端口号

7.AT+CIFSR

      这是列出IP地址,也是等会你连接上热点后需要设置用来通信的。这是AP模式下的设置,设置完成后就可以连接ESP8266的热点了,网上下载一个网络串口调试器就可发送数据了

 

 9.模式

wifi模块作AP模式

在此模式下 还可以可以设置 3 个子模式:TCP 服务器、TCP 客户端,UDP
代码如下(示例):
(1)tcp服务器

AT+CWMODE=2      	                设置成ap模式	
AT+RST				                重启生效	
AT+CWMODE?			                查询WiFi模块的模式
AT+CWSAP="ESP8266","12345678",11,0  设置要产生的wifi名字以及密码
AT+CIPMUX=1				            设置多接入点模式
AT+CIPSERVER=1,8899		            设置端口号
AT+CIFSR	                        查询路由器分配的ip地址

在这里就可以手机连接他产生的wifi,然后手机网络调试助手打开tcp客户端,输入产生的ip地址和端口号就可以连接了,然后就可以通信了

2)tcp客户端

AT+CWMODE=2      	                	设置成ap模式	
AT+RST				                	重启生效	
AT+CWMODE?			                	查询WiFi模块的模式
AT+CWSAP="ESP8266","12345678",11,0  	设置要产生的wifi名字以及密码
AT+CIPMUX=0				                设置单连接
AT+CIPSTART="TCP","10.128.19.xxx",1121  这个需要根据手机端打开的tcp服务器的ip地址和端口号来修改
AT+CIPMODE=1                          	开启透传模式(仅单连接 客服端时支持)
AT+CIPSEND                              开始传送数据

 在这里就可以手机连接他产生的wifi,然后手机网络调试助手打开tcp服务器,就可以连接了,然后就可以通信了


 2. 代码:

串口 头文件

#ifndef __USART_H
#define __USART_H
#include "stdio.h"	
#include "stm32f4xx_conf.h"
#include "sys.h" 
//	 
//本程序只供学习使用,未经作者许可,不得用于其它任何用途
//Mini STM32开发板
//串口1初始化		   
//正点原子@ALIENTEK
//技术论坛:www.openedv.csom
//修改日期:2011/6/14
//版本:V1.4
//版权所有,盗版必究。
//Copyright(C) 正点原子 2009-2019
//All rights reserved
//********************************************************************************
//V1.3修改说明 
//支持适应不同频率下的串口波特率设置.
//加入了对printf的支持
//增加了串口接收命令功能.
//修正了printf第一个字符丢失的bug
//V1.4修改说明
//1,修改串口初始化IO的bug
//2,修改了USART_RX_STA,使得串口最大接收字节数为2的14次方
//3,增加了USART_REC_LEN,用于定义串口最大允许接收的字节数(不大于2的14次方)
//4,修改了EN_USART1_RX的使能方式
// 	
#define USART_REC_LEN  			200  	//定义最大接收字节数 200
#define EN_USART1_RX 			1		//使能(1)/禁止(0)串口1接收
	  	
extern u8  USART_RX_BUF[USART_REC_LEN]; //接收缓冲,最大USART_REC_LEN个字节.末字节为换行符 
extern u16 USART_RX_STA;         		//接收状态标记	
//如果想串口中断接收,请不要注释以下宏定义
void uart_init(u32 bound);


extern uint8_t  Uart2RecvBuf[128];
extern uint8_t  Uart2RecvLen;


void uart2_init(u32 bound);
void Uart2SendBuf(uint8_t *buf, int slen);

#endif


串口.c  文件

#include "sys.h"
#include "usart.h"	
// 	 
//如果使用ucos,则包括下面的头文件即可.
#if SYSTEM_SUPPORT_OS
#include "includes.h"					//ucos 使用	  
#endif


//V1.3修改说明 
//支持适应不同频率下的串口波特率设置.
//加入了对printf的支持
//增加了串口接收命令功能.
//修正了printf第一个字符丢失的bug
//V1.4修改说明
//1,修改串口初始化IO的bug
//2,修改了USART_RX_STA,使得串口最大接收字节数为2的14次方
//3,增加了USART_REC_LEN,用于定义串口最大允许接收的字节数(不大于2的14次方)
//4,修改了EN_USART1_RX的使能方式
//V1.5修改说明
//1,增加了对UCOSII的支持
// 	  
 

//
//加入以下代码,支持printf函数,而不需要选择use MicroLIB	  
#if 1
#pragma import(__use_no_semihosting)             
//标准库需要的支持函数                 
struct __FILE 
{ 
	int handle; 
}; 

FILE __stdout;       
//定义_sys_exit()以避免使用半主机模式    
void _sys_exit(int x) 
{ 
	x = x; 
} 
//重定义fputc函数 
int fputc(int ch, FILE *f)
{ 	
	while((USART1->SR&0X40)==0);//循环发送,直到发送完毕   
	USART1->DR = (u8) ch;      
	return ch;
}
#endif
 
#if EN_USART1_RX   //如果使能了接收
//串口1中断服务程序
//注意,读取USARTx->SR能避免莫名其妙的错误   	
u8 USART_RX_BUF[USART_REC_LEN];     //接收缓冲,最大USART_REC_LEN个字节.
//接收状态
//bit15,	接收完成标志
//bit14,	接收到0x0d
//bit13~0,	接收到的有效字节数目
u16 USART_RX_STA=0;       //接收状态标记	

//初始化IO 串口1 
//bound:波特率
void uart_init(u32 bound)
{
   //GPIO端口设置
  GPIO_InitTypeDef GPIO_InitStructure;
	USART_InitTypeDef USART_InitStructure;
	NVIC_InitTypeDef NVIC_InitStructure;
	
	RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA,ENABLE); //使能GPIOA时钟
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1,ENABLE);//使能USART1时钟
 
	//串口1对应引脚复用映射
	GPIO_PinAFConfig(GPIOA,GPIO_PinSource9,GPIO_AF_USART1); //GPIOA9复用为USART1
	GPIO_PinAFConfig(GPIOA,GPIO_PinSource10,GPIO_AF_USART1); //GPIOA10复用为USART1
	
	//USART1端口配置
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9 | GPIO_Pin_10; //GPIOA9与GPIOA10
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;//复用功能
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;	//速度50MHz
	GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; //推挽复用输出
	GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP; //上拉
	GPIO_Init(GPIOA,&GPIO_InitStructure); //初始化PA9,PA10

   //USART1 初始化设置
	USART_InitStructure.USART_BaudRate = bound;//波特率设置
	USART_InitStructure.USART_WordLength = USART_WordLength_8b;//字长为8位数据格式
	USART_InitStructure.USART_StopBits = USART_StopBits_1;//一个停止位
	USART_InitStructure.USART_Parity = USART_Parity_No;//无奇偶校验位
	USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//无硬件数据流控制
	USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;	//收发模式
  USART_Init(USART1, &USART_InitStructure); //初始化串口1
	
  USART_Cmd(USART1, ENABLE);  //使能串口1 
	
	//USART_ClearFlag(USART1, USART_FLAG_TC);
	
#if EN_USART1_RX	
	USART_ITConfig(USART1, USART_IT_RXNE, ENABLE);//开启相关中断

	//Usart1 NVIC 配置
  NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn;//串口1中断通道
	NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=3;//抢占优先级3
	NVIC_InitStructure.NVIC_IRQChannelSubPriority =3;		//子优先级3
	NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;			//IRQ通道使能
	NVIC_Init(&NVIC_InitStructure);	//根据指定的参数初始化VIC寄存器、

#endif
	
}


void USART1_IRQHandler(void)                	//串口1中断服务程序
{
	u8 Res;
#if SYSTEM_SUPPORT_OS 		//如果SYSTEM_SUPPORT_OS为真,则需要支持OS.
	OSIntEnter();    
#endif
	if(USART_GetITStatus(USART1, USART_IT_RXNE) != RESET)  //接收中断(接收到的数据必须是0x0d 0x0a结尾)
	{
		Res =USART_ReceiveData(USART1);//(USART1->DR);	//读取接收到的数据
		
		if((USART_RX_STA&0x8000)==0)//接收未完成
		{
			if(USART_RX_STA&0x4000)//接收到了0x0d
			{
				if(Res!=0x0a)USART_RX_STA=0;//接收错误,重新开始
				else USART_RX_STA|=0x8000;	//接收完成了 
			}
			else //还没收到0X0D
			{	
				if(Res==0x0d)USART_RX_STA|=0x4000;
				else
				{
					USART_RX_BUF[USART_RX_STA&0X3FFF]=Res ;
					USART_RX_STA++;
					if(USART_RX_STA>(USART_REC_LEN-1))USART_RX_STA=0;//接收数据错误,重新开始接收	  
				}		 
			}
		}   		 
  } 
#if SYSTEM_SUPPORT_OS 	//如果SYSTEM_SUPPORT_OS为真,则需要支持OS.
	OSIntExit();  											 
#endif
} 
#endif	



//初始化串口2
void uart2_init(u32 bound)
{
   //GPIO端口设置
  GPIO_InitTypeDef GPIO_InitStructure;
	USART_InitTypeDef USART_InitStructure;
	NVIC_InitTypeDef NVIC_InitStructure;
	
	RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOD,ENABLE); //使能GPIOD时钟
	
	RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART2,ENABLE);//使能USART2时钟
 
	//串口2对应引脚复用映射
	GPIO_PinAFConfig(GPIOD,GPIO_PinSource5,GPIO_AF_USART2); //GPIOD5复用为USART2
	GPIO_PinAFConfig(GPIOD,GPIO_PinSource6,GPIO_AF_USART2); //GPIOD6复用为USART2
	
	//USART2端口配置
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5 | GPIO_Pin_6; //GPIOA9与GPIOA10
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;//复用功能
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;	//速度50MHz
	GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; //推挽复用输出
	GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP; //上拉
	GPIO_Init(GPIOD,&GPIO_InitStructure); //初始化PA9,PA10

   //USART2 初始化设置
	USART_InitStructure.USART_BaudRate = bound;//波特率设置
	USART_InitStructure.USART_WordLength = USART_WordLength_8b;//字长为8位数据格式
	USART_InitStructure.USART_StopBits = USART_StopBits_1;//一个停止位
	USART_InitStructure.USART_Parity = USART_Parity_No;//无奇偶校验位
	USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//无硬件数据流控制
	USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;	//收发模式
  USART_Init(USART2, &USART_InitStructure); //初始化串口2
	
  USART_Cmd(USART2, ENABLE);  //使能串口2
	

	USART_ITConfig(USART2, USART_IT_RXNE, ENABLE);//开启相关中断

	//Usart2 NVIC 配置
  NVIC_InitStructure.NVIC_IRQChannel = USART2_IRQn;//串口2中断通道
	NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=3;//抢占优先级3
	NVIC_InitStructure.NVIC_IRQChannelSubPriority =3;		//子优先级3
	NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;			//IRQ通道使能
	NVIC_Init(&NVIC_InitStructure);	//根据指定的参数初始化VIC寄存器、

}


//串口2发送一个字符
void UartSend(int ch)
{ 	
	while((USART1->SR&0X40)==0);//循环发送,直到发送完毕   
	USART1->DR = (u8) ch;      
	return ;
}

//串口2发送缓冲区
void Uart2SendBuf(uint8_t *buf, int slen)
{
	int i = 0;
	for(i = 0; i < slen; i++)
	{
		UartSend(buf[i]);
	}
	
}


uint8_t  Uart2RecvBuf[128] = {0};
uint8_t  Uart2RecvLen = 0;
/*
void USART1_IRQHandler(void)                	//串口1中断服务程序
{
	if(USART_GetITStatus(USART1, USART_IT_RXNE) != RESET)  
	{
			UartRecvBuf[Uart2RecvLen] = (uint8_t)USART_ReceiveData(USART1);
			UartRecvLen++;
		
			USART_ClearFlag(USART1, USART_IT_RXNE);
	}
	
}*/

 



wifi   .c 文件 模块: 


/*
 ESP-12S WIFI模块驱动
*/


#include <string.h>
#include "usart.h"
#include "delay.h"
#include "main.h"



/*
  发送返回带有OK的 AT命令
	
	waitTime 等待时间,单位秒
	
	命令执行成功返回 0 失败返回 -1
*/

int sendWifiAtCmd(char *atcmd, int waitTime)
{
		int timeout = 0;
		int AtCmdLen = strlen(atcmd);
		int waitAckTime = waitTime * 100;
	
		Uart2RecvLen = 0;
		memset(Uart2RecvBuf, 0,128);
	pr("atcmd:%s len:%d\r\n", atcmd, AtCmdLen);
		Uart2SendBuf((uint8_t *)atcmd, AtCmdLen);
	
		//等待AT命令响应消息,响应消息中应该包括OK字符串
		while(1)
		{
				if(Uart2RecvLen == 0)
				{
					 delay_ms(10);
				}
				else
				{
					if(strstr((char *)Uart2RecvBuf,"OK") != NULL)
					{
							pr("Recv AtCmd Ack:%s\r\n", Uart2RecvBuf);
						
							//返回OK字符串,表示执行AT命令成功
							return 0;
					}
					else
					{
							delay_ms(10);
					}
				}
				
				timeout++;
				
				if(timeout >=waitAckTime)
				{
						//等待AT命令响应超时
					  break;
				}
						
		}
		
		return -1;	
}

//发送AT命令,响应消息不包括OK字符串
int sendWifiAtCmdNoOK(char *atcmd, int waitTime)
{
		int timeout = 0;
		int AtCmdLen = strlen(atcmd);
		int waitAckTime = waitTime * 100;
	
		Uart2RecvLen = 0;
		memset(Uart2RecvBuf, 0,128);
		pr("atcmd:%s  len:%d\r\n", atcmd,AtCmdLen);
		Uart2SendBuf((uint8_t *)atcmd, AtCmdLen);
	
		//等待AT命令响应消息,响应消息中应该包括OK字符串
		while(1)
		{
				if(Uart2RecvLen == 0)
				{
						delay_ms(10);
						timeout++;
				
						if(timeout >=waitAckTime)
						{
								//等待AT命令响应超时
								break;
						}
				}
				else
				{
					/*if(strstr((char *)Uart2RecvBuf,"OK") != NULL)
					{
							pr("Recv AtCmd Ack:%s\r\n", Uart2RecvBuf);
						
							//返回OK字符串,表示执行AT命令成功
							return 0;
					}
					else
					{
							delay_ms(10);
					}*/
					
					delay_ms(100);
					pr("Recv AtCmd Ack:%s\r\n", Uart2RecvBuf);
					return 0;
					
				}
								
		}
		
		return -1;	
}

int	ConnectToTcpServer(void);

int WifiSendData(void);

//初始化ESP-12S模块
int InitWifiModule(void)
{
		if(sendWifiAtCmd("AT\r\n",2) < 0)
		{
				pr("AT ERROR\r\n");
			
				return -1;
		}
		
		if(sendWifiAtCmd("AT+CWMODE=1\r\n",2) < 0)
		{
				pr("AT+CWMODE ERROR\r\n");
			
				return -1;
		}
		
		if(sendWifiAtCmd("AT+CWJAP_CUR=\"TP-LINK_38BC\",\"18075185955\"\r\n",8) < 0)
		{
				pr("AT+CWJAP ERROR\r\n");
			
				return -1;
		}
		
		if(sendWifiAtCmdNoOK("AT+CIFSR\r\n",3) < 0)
		{
				pr("AT+CIFSR ERROR\r\n");	
				return -1;
		}
		
		ConnectToTcpServer();
				
		return 0;
}

//连接到TCP 服务器
int ConnectToTcpServer(void)
{
		if(sendWifiAtCmd("AT+CIPSTART=\"TCP\",\"192.168.0.101\",8080\r\n",5) < 0)
		{
				pr("AT+CIPSTART ERROR\r\n");
			
				return -1;
		}
		
		return 0;
}

//WIFI 发送数据
int WifiSendData(void)
{
		if(sendWifiAtCmdNoOK("AT+CIPSEND=5\r\n",5) < 0)
		{
				pr("AT+CIPSTART ERROR\r\n");
			
				return -1;
		}
		
		delay_ms(100);
		
		//发送数据
		if(sendWifiAtCmd("Hello",5) < 0)
		{
				pr("AT+CIPSEND ERROR\r\n");
			
				return -1;
		}
		
		return 0;
}










main.h


/*
 user_main.h
*/

#ifndef  	__MAIN_H__
#define 	__MAIN_H__

#include <stdio.h>

#define _DEBUG_ 1

#if _DEBUG_

	#define pr(...) printf(__VA_ARGS__)
	
#else

	#define pr(...) 
	
#endif



#endif


本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

stm32 的 ESP8266 wifi 模块 (ESP - 12s) 的使用 的相关文章

  • 深入理解 SQL 中的 Grouping Sets 语句

    前言 SQL 中 Group By 语句大家都很熟悉 根据指定的规则对数据进行分组 常常和聚合函数一起使用 比如 考虑有表 dealer 表中数据如下 id Int city String car model String quantity
  • Linux系统下ping命令报错 name or service not know

    问题描述 CentOS 但是当执行ping命令的时候 提示name or service not known 解决方法 1 添加DNS服务器 1 vi etc resolv conf 进入编辑模式 增加如下两行内容 分别是首选DNS服务器和
  • logback--进阶--05--自定义Appenders

    logback 进阶 05 自定义Appenders 代码位置 https gitee com DanShenGuiZu learnDemo tree master logback learn 1 介绍 1 1 继承关系图 可以看到Appe
  • C++ 多态和虚函数

    一 先搞清override overload overwrite的区别 1 overload 重载 不是多态 在C 程序中 可以将语义 功能相似的几个函数用同一个名字表示 但参数不同 包括类型 顺序不同 即函数重载 1 相同的范围 在同一个
  • 药明康德成都研发中心投入运营;中国白酒行业净利润将迎来七年来首次下滑

    今日看点 药明康德成都研发中心正式投入运营 该研发中心将成为药明康德上海研发总部以外 又一个覆盖化学及生物学的新药发现整体研发平台 将为客户提供从小分子药物设计 合成 分析 体内体外生物学 肿瘤免疫学等全方位 一体化的新药研发服务 该研发中
  • 【编译原理】LR(1)分析方法(c++实现)

    前文回顾 编译原理 LR 0 分析方法 c 实现 编译原理 SLR 1 分析方法 c 实现 算法 来自龙书第二版 代码 和SLR的区别其实只是DFA中多了一个搜索符 构建分析表的时候规约项的列是相应的搜索符而已 代码基本上就在SLR的代码上

随机推荐

  • 拼多多anti_content算法

    最近拼多多的anti content算法更新了 闲着无聊就看了看 总思路如下 首先我们抓包 看到我们今天的目标 anti content 现在 我们通过堆栈入口随便下个断点 然后我们在CallStack中向下寻找 经过漫长的寻找 我们可以在
  • route命令的详细使用介绍

    1 命令格式 route f p Command Destination mask Netmask Gateway metric Metric if Interface 2 命令功能 Route命令是用于操作基于内核ip路由表 它的主要作用
  • es单机数据迁移到另一个es单机

    将一个Elasticsearch单机的数据迁移到另一个Elasticsearch单机主要可以分为两步 第一步 备份原有数据 将原有Elasticsearch单机中的数据备份到一个文件中 可以使用 Elasticsearch 提供的 snap
  • 第三十讲:神州路由器路由重分发配置

    RIP和OSPF协议是目前应用最广泛的路由协议 两种协议交接的场合也很多见 两种协议的重分布是比较常见的配置 主校区原来所采用的网络协议为OSPF 而分校区采用的路由协议是RIP 采用RIP和OSPF重分发技术可以解决此问题 实验拓扑图如下
  • PostgreSQL、Greenplum 日常监控 和 维护任务

    背景 Greenplum的日常监控点 评判标准 日常维护任务 展示图层 由于一台主机可能跑多个实例 建议分层展示 另外 即使是ON ECS虚拟机 一个虚拟机一个实例一对一的形态 的产品形态 实际上也建议分层展示 以示通用性 主机级图层 1
  • vue项目全局内引入外部CDN地址的js文件

    通过vue cli 构建的vue项目的根目录下 有一个index html文件 例如
  • 跳过selenium检测爬取淘宝直通车

    最近 有对阿里商家端进行一些数据爬取 这次爬取的是直通车人群溢价数据 发现对selenium的检测相当厉害 然而我的回答是 你强任你强 清风拂山岗 咱人工登录怕过谁 什么cokies user agent selenium检测 token
  • Android构建问题解决方案

    1 问题一 解决方法 在app下的build gradle中加上 packagingOptions exclude META INF DEPENDENCIES exclude META INF NOTICE exclude META INF
  • 怎么计算union和struct中字节数计算

    首先我的运行结果都是在64位系统的Xcode中运行的 然后 这个只是由于对于标准的位移量方法看得头疼 自己总结出来的 如果有错误或者不明欢迎留言 字节 一般成8位为一个字节 在Xcode中sizeof int 等于4 在这里也就采用int占
  • fastjson(七)处理超大对象和超大JSON文本

    当需要处理超大JSON文本时 需要Stream API 在fastjson 1 1 32版本中开始提供Stream API 来看一下示例代码 示例对象 package json fastjson StreamApi import java
  • java金额的正则表达式,【转】关于金额应验的Java方法(采用正则表达式)

    public static boolean isNumber String str java util regex Pattern pattern java util regex Pattern compile 0 9 java util
  • 2016阿里云121款产品和解决方案全向图(9月制)

    2016阿里云121款产品和解决方案全向图 9月制 摘要 云栖社区在9月底又更新了 2016阿里云产品全向图和解决方案全向图 导语 DT时代 一切都将走向数据化 可视化 在阿里云所阐述的 技术拓展商业的边界 商业驱动技术的变革 理念中 密集
  • 汇编语言(王爽第三版) 实验5编写、调试具体多个段的程序

    参考 http blog sina com cn s blog 171daf8e00102xclx html 汇编语言实验答案 王爽 https wenku baidu com view a1cd7c6c1fb91a37f111f18583
  • muduo网络库学习笔记(13):TcpConnection生命期的管理

    本篇通过分析muduo中TcpConnection对断开连接事件的处理 来学习muduo网络库对TcpConnection生命期的管理 TcpConnection对连接断开事件的处理 首先 我们来看一下TcpConnection处理连接断开
  • 华为OD题目: 整理扑克牌

    package com darling boot order od od12 import java util 整理扑克牌 题目描述 给定一组数字 表示扑克牌的牌面数字 忽略扑克牌的花色 请按如下规则对这一组扑克牌进行整理 步骤1 对扑克牌
  • 关于Bert被质疑利用“虚假统计性提示”的ACL论文

    曾经狂扫11项记录的谷歌NLP模型BERT 近日遭到了网友的质疑 该模型在一些基准测试中的成功仅仅是因为利用了数据集中的虚假统计线索 如若不然 还没有随机的结果好 这项研究已经在Reddit得到了广泛的讨论 引用自 新智元 真的不想那么标题
  • 社会中的学费

    上学期间 我们交学费是为了学习课本知识 我们也确实从中学到了很多有用的东西 这些学费我们觉得是物有所值的 当我们踏入社会的时候 我们也是要向社会交一定的学费 这种学费 我们能够学到的东西就是社会经验 这是东西是无形的 我们总会觉得自己的钱没
  • ImportError: /lib/x86_64-linux-gnu/libstdc++.so.6: version `GLIBCXX_3.4.29‘ not foun

    复现代码过程中 无意间出现这个问题 本以为很好解决 没想到还是花了我好几个小时的时间 总结一下趴 我的环境是cuda11 3 python3 9 pytoch1 10 问题如图 图1 bug示意图 在网上找了很多答案 要么就是没说清的 要么
  • HTTPS网络编程——S代表SSL协议也叫TLS协议

    参考 HTTPS简介以及SSL协议详解 地址 https qingmu blog csdn net article details 108209248 spm 1001 2014 3001 5502 目录 1 HTTPS简介 2 SSL协议
  • stm32 的 ESP8266 wifi 模块 (ESP - 12s) 的使用

    1 ESP8266 的器件介绍 2 ESP2866外设 的引脚 3 我所用的的ESP2866 的引脚图 4 代码 编程的串口 5 wifi 的指令 1 AT 测试指令 2 AT RST 重启模块 3 AT GMR 查看版本信息 4 AT R