用Vscode编辑verilog代码配置

2023-11-18

这篇教程感觉很详细了,我这里分享一下vscode和插件的安装包链接,都是官网下载的,放心食用:
用VSCode编辑verilog代码、iverilog编译、自动例化、自动补全、自动格式化等常用插件
链接:https://pan.baidu.com/s/1zOcb7Ar9YBphjk4cmhs6hg?pwd=mnq4
提取码:mnq4

比较有用的插件:

  • Chinese 语言包
  • Verilog-HDL/SystemVerilog/Bluespec SystemVerilog (识别verilog和sv代码, 查看verilog语法错误)
  • verilog-utils (用于自动例化模块)
  • Verilog Format
  • TerosHDL (这个超级牛,可以生成代码的原理图,状态机转移图,生成说明文档)
    在这里插入图片描述

1.Verilog-HDL/SystemVerilog/Bluespec SystemVerilog

在这里插入图片描述
需要额外安装

    1. ctags (点击f12,跳转到变量定义的地方)
  • 2.iverilog (ctrl+s 保存后,能够识别verilog的语法错误)

1.ctags 配置。

在这里插入图片描述
解压压缩包里面的,在这里插入图片描述在Vs code配置中指向ctags.exe。 格式:D:\bao\work\vccode\ctags\ctags||ctags.exe
在这里插入图片描述
打开系统的环境变量,设置系统变量中的PATH,把ctags的目录添加进去
在这里插入图片描述


2.iVerilog

iverilog用于编译verilog, 安装了这个,vscode才能够正确的识别verilog语法,查看有没有错误。iverilog的使用教程:全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程

和vcs一样,他需要设定仿真时间,

initial begin
    $dumpfile("wave.vcd");        //生成的vcd文件名称
    $dumpvars(0, led_demo_tb);    //tb模块名称
end

编译:

iverilog -o wave -y ./top.v top_tb.v  

-o 生成wave的仿真文件,-y是指,tb中,文件包含了top.v,有这样包含关系的,需要添加-y参数。

运行编译后二进制可执行文件

vvp -n wave -lxt2

生成vcd文件。才能在gtkwave 执行

gtkwave wave.vcd

打开图形化界面,查看波形。

在这里插入图片描述
在vscode中,需要添加-i参数。 在linter选择iverilog.

2.verilog_utils

安装好后,选中需要例化的部分,打开命令面板在这里插入图片描述
输入utils,找到命令
在这里插入图片描述
自动例化后的结果
在这里插入图片描述


3.Verilog Format

这是一个代码风格化的插件,这需要先安装java, java的安装教程:如何在64位Windows 10下安装java开发环境

首先在VSCODE插件中,安装Verilog Format, 之后打开Format插件的配置
在这里插入图片描述
打开我们网盘中的verilog-format-master包。让VScode 内容指向我们的包
在这里插入图片描述
第一个配置指向bin的exe
在这里插入图片描述
第二个配置指向verilog.verilog-format.properties

在这里插入图片描述


4. TerosHDL

参考网址:

  1. 安装python3 环境,python官网找个最新版安装一下就行。
  2. 在VSCODE插件中,安装TerosHDL。
    在这里插入图片描述
    配置完成后,在界面的右上角有几个选项。
    在这里插入图片描述
    可以用来查看状态机转移图,原理图,说明文档这些。
    在这里插入图片描述
    配置完环境变量需要重启VSCODE。
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

用Vscode编辑verilog代码配置 的相关文章

  • jEdit 可以用作 IDE 吗? [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心 help reopen questi
  • 从哪里开始使用 C# 和 Dreamweaver 中的 ASP.net?

    我希望开始使用 C 开发个人网站 我是一名 Web 开发人员 以前使用过 VBScript 现在在工作中使用 Coldfusion 我使用 Dreamweaver 进行这些语言的开发 我读过很多人建议您应该使用 MS IDE 在 net 中
  • Linux 上 Objective-C 的 IDE [已关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我正在学习 Objective C 我想知道在哪里可以找到 Linux 上 Objective C 的
  • VS 2017 颜色主题编辑器 - 如何更改 Intellisense 自动完成背景颜色

    我已使用颜色主题编辑器导入了透明主题 并且正在尝试更改自动完成框的背景颜色 目前 如下所示 它是透明的 并且很难阅读下面几行的文本 我尝试了多种元素 但找不到合适的元素 谷歌一无所获 如何更改自动完成 智能感知自动完成框背景颜色 将树视图背
  • Go测试调试

    有没有办法使用像 IntelliJ 这样的 IDE 来调试 Go 中的测试 我正在使用 IntelliJ 的 Go 插件 似乎在提供调试配置时 调试按钮被禁用 It is 可能在 Gogland 1 0 预览版 Build EAP 12 1
  • PyCharm:Scapy 未解决的参考

    我正在开发一个使用 scapy 用 python 编写的网络工具 我使用 Pycharm 作为 IDE 我的代码有效 因此 如果我运行它 一切都会按预期进行 我的问题是 PyCharm 给了我一些错误 它标志着每次使用IP TCP Ethe
  • 在 Windows 上使用 Emacs 编译 C++ 程序

    我已经使用 Emacs 进行基本文本编辑有一段时间了 但从今天开始 我尝试使用它进行 C 编译 在过去的几个小时里 我一直在寻找如何解决这个问题 但我在他们的技术中不断遇到障碍 我认为其中一些与教程过时有关 基本上 我想做的就是能够通过 M
  • 编写自定义重构脚本的最佳 Java 库是什么? [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心 help reopen questi
  • Clojure 开发:IDE 还是 REPL?

    我已经使用 Clojure 一段时间了 想要创建一些比玩具更大 更复杂的项目 过去几年我一直在使用 Java 并且已经习惯了 IDE 为我所做的事情 编译大量类 将它们打包在 jar 中 创建批处理文件供用户启动它们 当我查看 Clojur
  • 是否有一个项目可以使用 Eclipse 代码库为 Emacs、VIM 和其他编辑器提供高级语言支持?

    这里有很多关于 IDE 与编辑器以及哪个更适合现代软件开发的问题 在答案中 一个常见的观点是 Eclipse 有更好的语言支持 例如for Java http help eclipse org indigo index jsp topic
  • 汤博乐开发工具

    我想创建 Tumblr 主题 有趣的是有没有 IDE Netbeans Eclipse PHPStorm 插件或开发工具 理想的情况是在浏览器中预览我的主题 而不将其上传到 Tumblr 谢谢 我找到了自己的方法来使用 PhpStorm 或
  • 如何在 Eclipse 中启用列选择模式?

    News 写道 Eclipse 3 5 终于支持列选择 不幸的是我不知道如何启用它 我尝试按 ALT 键 就像我在 Visual Studio 和所有其他 Microsoft 产品中习惯的那样 但这没有效果 如何在 Eclipse 中标记垂
  • 哪个 IDE 支持 Node.js 应用程序的 CoffeeScript 调试(源映射、断点和调用堆栈)?

    我对整个 CoffeeScript 场景还很陌生 是否有任何 IDE 支持调试在 Node js 上运行的 CoffeeScript 源代码 我希望能够在 coffee 文件中设置断点并查看调用堆栈并检查变量 WebStorm 似乎还不符合
  • 如何让 js2-mode 在 Emacs 中使用空格而不是制表符?

    我在用js2 mode http code google com p js2 mode 在 Emacs 中编辑 Javascript 但我似乎无法让它停止使用制表符而不是空格进行缩进 我的其他模式工作正常 只是遇到 js2 问题 你有 se
  • 如何在 IDE 中使用 Grails 依赖项

    So I finally https stackoverflow com questions 1867064 grails and local maven dependencies让我的依赖项与 Grails 一起工作 现在 我的 IDE
  • Sublime Text 2 在 Java 开发方面是否胜过 Eclipse [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心 help reopen questi
  • 在 Codeblocks 中启用编译器输出窗格

    This is probably a really noob question but the fact of the matter is that my Code blocks wouldn t show me errors when i
  • IntelliJ Idea 15 显示依赖包和项目包

    IntelliJ Idea 15 CE 在项目的包视图中显示来自库 依赖项的包 仅当项目的根包与某些依赖项的根包相同时才会发生这种情况 对于前 我的项目的根包是org 所以所有的依赖关系也有org包状org apache logging也被
  • 如何在浏览模式下禁用 RStudio 中的查看模式

    Is there a way to disable the View mode in RStudio when debugging functions 代码示例 myfun lt function browser myfun 我知道它不开放
  • 如何在 IDE 中输入提示上下文?

    我在用Closure call http php net manual en closure call php http php net manual en closure call php 在类上下文中调用外部闭包 这是一个简单的重现 c

随机推荐

  • Cuda 编程 矩阵转置

    将矩阵分块进行转置 输入矩阵 输出矩阵 include
  • 递归算法与非递归算法效率的一般计算方法

    1 非递归算法 1 首先确定一个参数n来表示输入的大小 2 分析算法的基本操作 一般在循环的最里层 3 判断算法需要执行基本操作的次数是否只与n有关 如果它还与其他因数有关 则需要分开考虑算法的最好 最坏 平均情况 4 建立一个计算算法需要
  • java 导出excel实例(内含通用excel导出工具类)

    1 创建一个ExportPropertiesDto 用于动态导出表头 前端传过来的json数组类似 field year fieldName 年份 field departmentName fieldName 部门 field typeNa
  • 机器学习基础线性回归——预测网店的销售额

    线性回归 步骤 明确定义所要解决的问题 网店销售额的预测 在数据的收集与预处理环节 分五个环节完成数据的预处理工作 分别如下 1 收集数据 需要提供的网店的相关记录 2 将收集到的数据可视化 显示出来看一看 3 做特征工程 使数据更容易被机
  • python使用时间戳计算运行时间

    时间戳计算运行时间 from time import time time 记下每一次time 这一行命令时的时间戳 时间戳是一行数字 用来记录此时此刻的时间 t0 time 当前时间 for i in range 10 print i i
  • Java内存分区

    1 简介 内存全称电子计算机内存储器 用于暂时存储CPU中运行的数据以及与磁盘交换的数据 它是硬件存储与CPU进行沟通的桥梁 计算机中的所有程序进行都在内存中进行 所以java程序要想运行必须要在内存中申请一块空间 java内存中可以分为堆
  • 编译出错 程序中有游离的‘\302‘

    编者按 复制别人的代码到Linux中运行 在编译时出错 错误 程序中有游离的 302 查找资料后获取解决方法 在此做一个记录 错误提示如下 read c 164 6 错误 程序中有游离的 302 read c 164 6 错误 程序中有游离
  • 系统邮件模板的邮箱兼容性

    近期支付宝的系统邮件进行了一次改版 在这次改版的过程中 我们遇到了很多在网页中显示正常的html邮件在邮箱中显示异常的问题 下面我们把遇到的问题和一些常用的代码书写规则和大家分享 共性问题 许多邮箱都会出现的问题 1 字体大小会发生变化 排
  • 项目管理2:电子项目研发流程安排

    电子项目研发流程安排 项目研发各阶段安排 1 方案选型阶段 1 所做工作 方案调研 选型 可行性研究 2 设备采购 参考设备 参考方案 demo 注 此阶段全面初步了解设备需求 制定方案 采购验证用设备 2 方案验证阶段 1 所做工作 方案
  • jdbc,prepareStatement,表名为变量时无法使用占位符‘?’

    java操作有些数据库比如clickhouse暂时还用的是jdbc 执行sql时面临使用statement还是prepareStatement 其中statement写的简单不过会有sql注入最后安全检查不过 prepareStatemen
  • ctf文件包含+伪协议总结

    基本原理 后端编程人员一般会把重复使用的函数写到单个文件中 需要使用时再直接调用此文件即可 该过程也就被称为文件包含 文件包含的存在使得开发变得更加灵活和方便 但同时也带了安全问题 导致客户端可以远程调用文件 造成文件包含漏洞 这个漏洞在p
  • C++ 负数转二进制形式

    C 负数转二进制形式 1 十进制的负数转成二进制形式 include
  • 【VsCode远程开发】Windows SSH远程连接Linux服务器 - 无公网IP内网穿透

    文章目录 前言 视频教程 1 安装OpenSSH 2 vscode配置ssh 3 局域网测试连接远程服务器 4 公网远程连接 4 1 ubuntu安装cpolar内网穿透 4 2 创建隧道映射 4 3 测试公网远程连接 5 配置固定TCP端
  • Pandas中五个常见操作小结

    1 引言 Pandas是专门为csv excel等表格数据创建的数据分析 可视化和操作的第三方库 其中DataFrame是一种二维数据结构 它一般是由行和列组成的表格数据 在Python3中使用Pandas库 可以方便我们对表格数据执行相应
  • php 密码校验正则,PHP常用密码正则匹配验证

    PHP常用密码正则匹配验证 第一种 昵称2 10位字符 需由中文 数字 字母 区分大小写 和下划线组合 a 的方 b preg match x 4e00 x 9fa5 A Za z0 9 2 10 u a var dump b 第二种 正则
  • 备战2023蓝桥国赛-饼干

    题目描述 解析 这道题我想了很多种解决方法 但无一例外都失败了 实在是按照常规线性DP的思路真的想不出来 看了题解之后才知道它是分为三步解决这个问题的 第一步 缩小最优解的范围 先用贪心将最优解缩小到某个较小的范围内 再DP求出精确的最优解
  • 【C语言】C语言入门经典题目(范围广,内容多)

    作者 小孙的代码分享 专栏 C语言入门 送给各位的一句话 空杯心态 才能学到新知 希望大家看完这些题目有所收获 别忘了 点赞 评论 目录 前言 字符转ASCII码 判断闰年 简单计算器 包含数字9的数 十六进制转十进制 获得月份天数 求质数
  • MybatisPlus的注解

    MybatisPlus的注解 TableField exist false 表示该属性不为数据库表字段 但又是必须使用的 TableField exist true 表示该属性为数据库表字段 Mybatis Plus 插件有这个功能 可以看
  • RestTemplate使用详解

    文章目录 一 RestTemplate 简单使用 1 创建 RestTemplate 2 接口调用 二 其他设置 1 拦截器配置 2 ErrorHandler 配置 3 HttpMessageConverter 配置 在项目中 当我们需要远
  • 用Vscode编辑verilog代码配置

    这篇教程感觉很详细了 我这里分享一下vscode和插件的安装包链接 都是官网下载的 放心食用 用VSCode编辑verilog代码 iverilog编译 自动例化 自动补全 自动格式化等常用插件 链接 https pan baidu com