“警告 C0007:架构具有未绑定的实例”问题!

2024-01-01

我从“数字设计基础”一书随附的 CD 中获取了以下源代码。

当我尝试运行该程序时,出现以下错误:

Compiling Fig17_13.vhd...
C:\Users\SPIDER\Desktop\EE460\The Final Project\Fig17_13.vhd(25): Warning C0007 : Architecture has unbound instances (ex. ct2)
Done

我该如何解决这个问题?

这是代码:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity c74163test is
    port(ClrN,LdN,P,T1,Clk: in std_logic;
       Din1, Din2: in std_logic_vector(3 downto 0);
       Count: out integer range 0 to 255;
       Carry2: out std_logic);
end c74163test;

architecture tester of c74163test is
    component c74163
       port(LdN, ClrN, P, T, Clk : in std_logic;  
         D: in std_logic_vector(3 downto 0);
       Cout: out std_logic; Qout: out std_logic_vector(3 downto 0) );
    end component;
    signal Carry1: std_logic;
    signal Qout1, Qout2: std_logic_vector(3 downto 0);
begin
    ct1: c74163 port map (LdN,ClrN,P,T1,Clk,Din1,Carry1, Qout1);
    ct2: c74163 port map (LdN,ClrN,P,Carry1,Clk,Din2,Carry2,Qout2);
    Count <= Conv_integer(Qout2 & Qout1);
end tester;

你之前真的读过实例化的设计吗(我猜它在Fig17_12.vhd)?否则你的实例只是一个黑匣子(我猜是“未绑定实例”的意思)。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

“警告 C0007:架构具有未绑定的实例”问题! 的相关文章

  • 必须创建一个matlab计数器[重复]

    这个问题在这里已经有答案了 Q 从 0 limit 1 创建一个 计数器 例如 如果您选择 3 它将显示 0 1 2 计数器的长度不是在程序中确定的 应该在运行时确定 并且输入可以彼此不同 不太确定你的意思 但是 for i 0 limit
  • 使用 1 位 ALU 制作 16 位 ALU

    你好 我正在尝试从几个 1 位 ALU 创建一个 16 位 ALU 我创建了一个名为 basic alu1 的包 其中包含 1 位 ALU 的组件 其代码是 library ieee use ieee std logic 1164 all
  • python中计数器的求和列表

    我正在寻找 python 中的计数器列表的总和 例如求和 counter list Counter a 1 b 2 Counter b 3 c 4 to give Counter b 5 c 4 a 1 我可以得到以下代码来进行求和 cou
  • 检查 Python 的“Counter”中的元素数量

    Python 2 7 3 1 引入了 Awesomecollections Counter 我的问题 如何计算计数器有多少个 元素出现 我要这个 len list counter elements 但更短 更有效的解决方案是将每个元素的计数
  • 使用 AngularJS 获取“ng-repeat”项目的索引(计数器)?

    我正在使用 AngularJS 及其ng repeat显示一系列问题的指令 我需要对每个问题进行编号1 如何显示和增加这样的计数器ng repeat 这是我到目前为止所拥有的 ul li div span class name questi
  • 将 *.vhdl 编译到库中时出现编译器错误 - Altera Quartus II

    我已经从以下位置下载了浮点包http www vhdl org fphdl http www vhdl org fphdl 并做了以下事情 我在项目中包含了 math utility pkg vhd fixed pkg c vhd 和 fl
  • vhdl代码(for循环)

    描述 我想编写 vhdl 代码来查找数组 A 中的最大整数 该数组是一个由 20 个整数组成的数组 问题 我的算法应该是什么样子 以输入顺序语句的位置 我的VHDL代码 highnum for i in 0 to 19 loop i 0 i
  • Simulink/HDL Coder 中的反馈循环

    我有一个 Simulink HDL 编码器系统 请参见下图 我有 3 个输出和 3 个输入 我希望我的系统运行 10 次 每次迭代后 它应该选择输出并将它们用作输入 我怎样才能做到这一点 使用存储器和每个信号的初始值块构建一个循环 内存块允
  • 在单周期数据路径中加载半字和加载字节

    有人询问如何在单周期数据路径中实现加载字节而无需更改数据存储器 解决方案如下 替代文本 http img214 imageshack us img214 7107 99897101 jpg http img214 imageshack us
  • FPGA 系统中的同步与异步复位

    我刚开始使用各种不同的模块创建 FPGA 系统来驱动 I2C 总线 尽管我认为这个问题适用于任何 FPGA 系统 并且所有模块都使用同步复位 这些模块使用时钟分频器模块进行计时 该模块获取系统时钟并向系统的其余部分输出较低的频率 我遇到的问
  • 创建具有多个变量的计数器[重复]

    这个问题在这里已经有答案了 我的数据如下所示 CustomerID TripDate 1 1 3 2013 1 1 4 2013 1 1 9 2013 2 2 1 2013 2 2 4 2013 3 1 2 2013 我需要创建一个计数器变
  • 设计用于初始化的VHDL状态机

    如何最明智地设计用于初始化芯片的 VHDL 状态机 我当前的设计是 伪代码 案例状态 当 s0 gt VHDL CODE FOR WRITING VALUE TO REGISTER状态 s1 当 s1 gt VHDL CODE FOR WR
  • VHDL 中的 if 语句

    我有一个关于 VHDL 中 if 语句的问题 请参见下面的示例 signal SEQ bit vector 5 downto 0 signal output bit if SEQ 000001 and CNT RESULT 111111 t
  • 使用 if 语句更改进程内的信号 - VHDL

    我有这个 VHDL 代码 我想要的是在 sw event 时首先上升 然后 首先自行下降 但当我模拟这个时 首先永远不会跌倒 process rst clk sw begin if clk EVENT and clk 1 then if r
  • 信号和端口在“箭头”的哪一侧=>

    我如何知道端口 信号 值应放置在箭头的哪一侧 我注意到通过切换port a gt x to x lt port a这看起来非常平等 但我遇到了错误 还 x gt port a不起作用 我什至不知道箭头应该指向哪个方向 lt 是一个分配 具体
  • 如何在使用 Piwik 进行分析的页面上显示点击/访问计数器

    我想在主页上显示当天的访问量 该页面由 Piwik 跟踪 如何将 API 与 PHP 结合使用来获取今天的 唯一 访问量和点击量 以便我可以将它们显示在页面上的某个位置 result file get contents http mysit
  • 是否为 VHDL numeric_std 有符号/无符号定义了溢出

    如果我有一个unsigned MAX downto 0 包含值2 MAX 1 VHDL 87 93 200X 标准是否定义了当我将其加一时会发生什么 或者 类似地 当我从零减一时 简短回答 没有溢出处理 溢出进位只是丢失 因此结果就是你的操
  • 为什么上升沿优于下降沿

    触发器 寄存器 通常由上升沿或下降沿触发 但大多数情况下 您会在代码中看到使用上升沿触发的 if 子句 事实上 我从未见过有下降沿的代码 这是为什么 是因为程序员自然而然地使用上升沿 因为他们习惯了 还是因为某些物理 模拟定律 事实 上升沿
  • 初级 Java 计数器代码

    我的教授希望我这样做 使用下面的 Counter 接口写入多个可互换计数器 public interface Counter Current value of this counter int value Increment this co
  • 如何获取枚举类型的元素个数

    对于枚举类型 如下所示 有没有一种很好的方法来获取枚举类型中的元素数量enum t type enum t is ALFA BRAVO CHARLIE Number of elements is 3 Don t work length is

随机推荐