FPGA大输入数据

2024-03-06

我正在尝试向 FPGA 发送 4 KB 字符串,最简单的方法是什么?

是我正在使用的fpga的链接。我正在使用 Verilog 和 Quartus。


您的问题的答案在很大程度上取决于将数据输入 FPGA 的内容。即使没有您需要遵守的特定协议(SPI、以太网、USB 等),也存在您需要多快接受数据以及数据必须传输多远的问题。如果速度非常慢,您可以使用常规 IO 引脚以及并行数据总线和时钟创建一个简单的接口。如果速度要快得多,您可能需要探索使用高速串行接口和芯片上可用的特殊硬逻辑来处理这些速度。即使速度较慢,但​​数据需要传输一段距离,串行接口可能是最大程度降低电缆成本的好主意。

我要在 @gbuzogany 的答案中添加一件事:您可能希望将 FPGA 中的内存块配置为 FIFO,这样您就可以处理以与 FPGA 内部时钟不同的速率运行的数据输入时钟。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

FPGA大输入数据 的相关文章

  • HWND 可见吗?

    那些该死的用户和他们最小化的窗口 在 C 中 如果我有一个窗口的 HWND 有没有办法判断它在桌面上是否可见 The GetWindowPlacement http msdn microsoft com en us library ms63

随机推荐