数字IC手撕代码-数据位宽转换器(宽-窄,窄-宽转换)

2023-10-26

 前言:

        本专栏旨在记录高频笔面试手撕代码题,以备数字前端秋招,本专栏所有文章提供原理分析、代码及波形,所有代码均经过本人验证。

目录如下:

1.数字IC手撕代码-分频器(任意偶数分频)

2.数字IC手撕代码-分频器(任意奇数分频)

3.数字IC手撕代码-分频器(任意小数分频)

4.数字IC手撕代码-异步复位同步释放

5.数字IC手撕代码-边沿检测(上升沿、下降沿、双边沿)

6.数字IC手撕代码-序列检测(状态机写法)

7.数字IC手撕代码-序列检测(移位寄存器写法)

8.数字IC手撕代码-半加器、全加器

9.数字IC手撕代码-串转并、并转串

10.数字IC手撕代码-数据位宽转换器(宽-窄,窄-宽转换)

11.数字IC手撕代码-有限状态机FSM-饮料机

12.数字IC手撕代码-握手信号(READY-VALID)

13.数字IC手撕代码-流水握手(利用握手解决流水线断流、反压问题)

14.数字IC手撕代码-泰凌微笔试真题

15.数字IC手撕代码-平头哥技术终面手撕真题

16.数字IC手撕代码-兆易创新笔试真题

17.数字IC手撕代码-乐鑫科技笔试真题(4倍频)

18.数字IC手撕代码-双端口RAM(dual-port-RAM)

        ...持续更新

为了方便可以收藏导览博客: 数字IC手撕代码-导览目录


目录

数据位宽转换器

 1.由宽到窄的数据转换

代码

testbench

波形图

 2.由窄到宽的数据转换

代码

testbench

波形图

总结


数据位宽转换器

        数据位宽转换器,一般常用于模块接口处,比如一个电路模块的输出数据位宽大于另一个模块的输入数据位宽,此时就需要进行数据位宽转换。比如SATA控制器中,内部数据位宽为32bit,但外部物理收发器PHY的接口通常为16bit,或者8bit,在不使用FIFO进行缓存的情况下,可以使用数据位宽转换器,通过时钟倍频在实现数据位宽的转换

 1.由宽到窄的数据转换

        假设数据从模块A传入到模块B,模块A的输出数据为32位,模块B的输入数据位宽为16位,那么如何能把数据从A传入B而不损失数据呢。

        答:通过时钟的分频与倍频实现。

        我们假设一个原时钟clk2x,通过二分频,把原时钟变为时钟clk1x,那么clk2x的时钟频率就是clk1x的两倍。在clk2x的上升沿,我们读入32bit数据,在clk2x的上升沿我们输出16bit数据,由于clk2x的频率是clk1x的两倍,每读入一次32bit数据,就会输出两次16bit数据,从而实现了数据位宽的转换,如图:

代码

(代码内写的clk1频率是clk2的两倍,结尾附波形,和上图有些出入)

module wide_to_narrow(
    input           rstn      ,
    input           clk1      ,
    input   [31:0]  data_in   ,
    output  [15:0]  data_out  
);
reg [31:0] data_sync;
reg clk2;
always @(posedge clk1)begin
  if(!rstn)begin
    clk2 <= 1'b0;
  end
  else begin
    clk2 <= ~clk2; //div_2
  end
end

always @(posedge clk2)begin
  data_sync <= data_in;
end

assign data_out = clk2 ? data_sync[31:16] : data_sync[15:0];

endmodule

testbench

module wide_to_narrow_tb();
reg clk1,rstn;
reg [31:0]  data_in;
wire [15:0] data_out;

always #5 clk1 = ~clk1;

initial begin
  clk1 <= 1'b0;
  rstn <= 1'b0;
  #10
  rstn <= 1'b1;
  #5
  data_in <= 32'h0000_1111;
  #20
  data_in <= 32'h2222_3333;
  #20
  data_in <= 32'h4444_5555;
  #20
  data_in <= 32'h6666_7777;
  #20
  data_in <= 32'h8888_9999;
  #20
  $stop();
end
wide_to_narrow u_wide_to_narrow(
  .clk1     (clk1)    ,
  .rstn     (rstn)    ,
  .data_in  (data_in) ,
  .data_out (data_out)
);

endmodule

波形图

 2.由窄到宽的数据转换

        由窄到宽的数据位宽转换原理和由宽到窄的是一样的。把频率高一点的时钟用来采样16bit数据,频率低一点的时钟用来输出32bit数据。

代码

module narrow_to_wide(
    input              rstn      ,
    input              clk1      ,
    input      [15:0]  data_in   ,
    output reg [31:0]  data_out  
);
reg [31:0]  data_sync;
reg [15:0]  data_temp;
reg clk2;

always @(posedge clk1)begin
  if(!rstn)begin
    clk2 <= 1'b0;
  end
  else begin
    clk2 <= ~clk2; //div_2
  end
end

always @(posedge clk1)begin
  data_temp <= data_in;
  data_sync <= {data_temp,data_in};
end

always @(posedge clk2)begin
  data_out <= data_sync;
end

endmodule

testbench

module narrow_to_wide_tb();
reg clk1,rstn;
reg   [15:0]  data_in;
wire  [31:0] data_out;

always #5 clk1 = ~clk1;

initial begin
  clk1 <= 1'b0;
  rstn <= 1'b0;
  #10
  rstn <= 1'b1;
  #5
  data_in <= 16'h1111;
  #10
  data_in <= 16'h2222;
  #10
  data_in <= 16'h3333;
  #10
  data_in <= 16'h4444;
  #10
  data_in <= 16'h5555;
  #10
  data_in <= 16'h6666;
  #20
  $stop();
end
narrow_to_wide u_narrow_to_wide(
  .clk1     (clk1)    ,
  .rstn     (rstn)    ,
  .data_in  (data_in) ,
  .data_out (data_out)
);

endmodule

波形图

总结

        位宽转换的核心就在于生成一个与原时钟成比例关系的时钟,这样时钟频率的比例关系就可以和数据的位宽扯上联系。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

数字IC手撕代码-数据位宽转换器(宽-窄,窄-宽转换) 的相关文章

  • ACwing :01背包问题

    朴素的 动规的 基本表示 f i j 表示只看前 i 个物品 总体积是 j 的情况下 总价值最大是多少 result max f n 0 V f i j 1 不选第 i 个物品 f i j f i 1 j 2 选第 i个物品 f i j f
  • ubuntu 如何使用 root 用户

    环境 virtual box 6 1 ubuntu 1604 LTS 64 问题 一般的ubuntu会创建一个管理员用户 在使用 su 指令从管理员切换到root用户后 设在 etc profile的环境变量丢失 如何才能保证环境变量不变呢
  • Android开发中怎么实现上传图片到服务器

    要实现在Android开发中上传图片到服务器 可以按照以下步骤进行 1 在Android项目中添加相应的权限 确保应用程序可以访问设备上的照片或相机 在 AndroidManifest xml 文件中添加以下权限
  • linux服务端下的c++ udp socket demo

    linux服务端 udp socket demo 如下 创建接受数据的socket int iSock socket PF INET SOCK DGRAM 0 printf socket ss d n iSock struct sockad
  • 三种基于CUDA的归约计算

    归约在并行计算中很常见 并且在实现上具有一定的套路 本文分别基于三种机制 Intrinsic 共享内存 atomic 实现三个版本的归约操作 完成一个warp 32 大小的整数数组的归约求和计算 Intrinsic版本 基于Intrinsi
  • 网站视频服务器架设,云服务器架设网站视频教程

    云服务器架设网站视频教程 内容精选 换一换 安装MySQL本文档以 CentOS 6 5 64bit 40GB 操作系统为例 对应MySQL版本为5 1 73 CentOS 7及以上版本将MySQL数据库软件从默认的程序列表中移除 需执行s
  • Keil常见错误警告

    1 warning 767 D conversion from pointer to smaller integer 解释 将指针转换为较小的整数 影响 可能造成的影响 容易引起数据截断 造成不必要的数据丢失 如果出现 bug 很难 调试

随机推荐

  • mybatis 的mapper接口注入到spring 容器的源码解析

    一 环境准备 1 创建一个maven 项目 其POM文件如下
  • pytorch笔记12--无监督的AutoEncoder(自编码)

    1 AutoEncoder 给特征属性降维 2 Data gt 压缩 提取Data的关键信息 减小网络的运算压力 gt data 具有代表性的特征 gt 解压 还原数据信息 gt Pred Data 3 使用Mnist数据集训练 将数据先压
  • CentOS7安装wps

    第一步 首先到wps官网 http linux wps cn 下载 wps for linux 选择合适自己的系统位数的rpm包 如下图所示 第二步 打开终端 cd进入文件下载的路径 我下载的存放路径是 home zjh Downloads
  • 大数据课程L4——网站流量项目的Hive离线批处理

    文章作者邮箱 yugongshiye sina cn 地址 广东惠州 本章节目的 掌握网站流量项目的 Hive 的占位符与文件的调用 掌握网站流量项目的 Hive 离线批处理过程 掌握网站流量项目的定时任务改造Hive离线处理过程 一 Hi
  • 数据库设计——关系数据理论(超详细)

    问题 什么是一个好的数据库逻辑设计 关系型数据库逻辑设计 针对一个具体问题应如何构造一个适合于它的数据模式 即应构造几个关系 每个关系由哪些属性组成等 eg 这样的设计是一个好的设计吗 观察这个表所对应的一个实例 在某个时刻student模
  • 域名服务器中存放主机的什么位置,域名服务器中存放主机的域名

    域名服务器中存放主机的域名 内容精选 换一换 本文档重点介绍在CCE容器中如何配置域名解析 在创建相应的后端工作负载 Deployment或ReplicaSet 以及在需要访问它的任何工作负载之前创建服务 当Kubernetes启动容器时
  • 基于Python的淘宝自动回复助手

    前言 看到有人从blink上发需要用python做一个类似于淘宝自动回复助手的作业 好久没玩python了 就写了一下 实现了 1 退货 2 查库存 3 商品查看 这三个功能 整理一下心路历程 搞到一份源码 def find answer
  • 安装 Django1.11

    Django1 11 安装Django的步骤 联网 在命令行窗口中直接运行 pip install django 1 11 i https pypi tuna tsinghua edu cn simple 或使用离线方式安装 执行命令 pi
  • 初级(上) 二维码的生成

    吼吼 阳仔的第一篇博客开写啦 以下的内容都是我从imooc上面听课的总结 当然是只针对二维码 作为一个初级程序媛 也是要坚持学习的 首先 谢谢imooc网上的老师发放的免费视频 让我自己有了一些简单的了解 接下来 就把我的总结贴出来吧 第一
  • 微信公众号开发之绑定微信开发者

    第一步 登录微信公众号 绑定网页开发者 在登录后的界面中 我们向下拉在左侧会看到有一个 开发者工具 点击 这时在开发者工具中 会看到有好几个工具 其中有一个 web开发者工具 我们点击进入 在这里 我们就会看到有一个 绑定开发者微信号 按钮
  • LDO的原理及应用

    01 LDO定义 LDO即lowdropoutregulator 是一种低压差线性稳压器 这是相对于传统的线性稳压器来说的 传统的线性稳压器 如78XX系列的芯片都要求输入电压要比输出电压至少高出2V 3V 否则就不能正常工作 但是在一些情
  • Javascript:window.close()不起作用?

    一般的窗口关闭的JS如下写法 window close 但是呢 chrome firefox等中有时候会不起作用 改为下面的写法 window open about blank self close 或者 window open self
  • 服务端 TCP 连接的 TIME_WAIT 过多问题的分析与解决

    https blog csdn net zxlyx article details 120397006 本文给出一个 TIME WAIT 状态的 TCP 连接过多的问题的解决思路 非常典型 大家可以好好看看 以后遇到这个问题就不会束手无策了
  • ubuntu安装anaconda及创建桌面快捷启动图标

    第一步 下载anaconda 1 因为跑代码的原因 我要下载python3 6的anaconda 如果大家也有版本的要求 首先需要查看python与anaconda的版本对应关系 详细可见https blog csdn net yuejis
  • verilog实例-仲裁(Arbiter)

    目录 1 仲裁 2 仲裁方案 3 严格优先级轮询 1 design detil 2 time 3 code 4 公平轮询 1 design detil 2 time 3 code 5 公平轮询 仲裁w o死周期 1 design detil
  • 【Arduino实验05 基于环境光的LED灯亮度感应控制】

    目录 一 实验目的 二 实验设备与环境 三 实验重点 四 实验难点 五 实验内容 5 1实验任务 5 2实验原理 5 3实验内容 5 4实验结果 5 5思考题 一 实验目的 1熟悉光敏传感器原理与功能 2理解串联电路获取传感器数据原理 3
  • 基于烟花算法的单目标优化问题求解及Matlab实现

    基于烟花算法的单目标优化问题求解及Matlab实现 烟花算法 Fireworks Algorithm 是一种新型的群智能算法 模拟了烟花爆炸时颜色花火 噪声花火等不同类别的行为特征 通过对爆炸位置 高度 数量等参数进行调整来求解单目标优化问
  • CRC校验流程

    通常我们在涉及到传输指令或者命令行的时候 为了保证数据传输的正确性和准确性 会涉及到校验码的问题 而我们通常会采用CRC校验 CRC是比较常用的对命令行和指令行的校验方法 一般会放在指令的后面 用以核对数据的正确性 其实如果是比较简单的指令
  • js使用mqtt的示例代码

    浏览器如果要使用mqtt 需要引用js包 基本使用 Create a client instance var options mqtt客户端的id 这里面应该还可以加上其他参数 具体看官方文档 clientId mqttjs Math ra
  • 数字IC手撕代码-数据位宽转换器(宽-窄,窄-宽转换)

    前言 本专栏旨在记录高频笔面试手撕代码题 以备数字前端秋招 本专栏所有文章提供原理分析 代码及波形 所有代码均经过本人验证 目录如下 1 数字IC手撕代码 分频器 任意偶数分频 2 数字IC手撕代码 分频器 任意奇数分频 3 数字IC手撕代