硬件设计31之LVDS与TMDS信号

2023-11-01

1.LVDS基础、原理、图文讲解

LVDS是一种低摆幅的差分信号技术,它使得信号能在差分PCB 线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。IEEE 在两个标准中对LVDS 信号进行了定义。ANSI/TIA/E IA -644 中,推荐最大速率为655Mbps ,理论极限速率为1.923Gbps。

一、LVDS组成

LVDS 信号传输一般由三部分组成差分信号发送器差分信号互联器差分信号接收器。

差分信号发送器:将非平衡传输的TTL 信号转换成平衡传输的LVDS 信号。

差分信号接收器:将平衡传输的LVDS 信号转换成非平衡传输的TTL 信号。

差分信号互联器:包括联接线(电缆或者PCB 走线),终端匹配电阻。按照IEEE 规定 ,电阻为100 欧。我们通常选择为100 ,120 欧。

二、 LVDS信号电平特性 (电流驱动--电压接收--共模电压由0-2.4v直流偏置,典型为1.2v--差模电压:350mv由驱动电流提供-)

LVDS 物理接口使用1.2V 偏置电压作为基准(共模直流电压),提供大约350mV 摆幅(差模电压)。LVDS 驱动器由一个驱动差分线对的电流源组成(通常电流为3.5mA),

LVDS 接收器具有很高的输入阻抗,因此驱动器输出的电流大部分都流过100Ω的匹配电阻,并在接收器的输入端产生大约350mV  的电压。电流源为恒流特性,终端电阻在100 ――120 欧姆之间,则电压摆动幅度为:3.5mA * 100 = 350mV  ;3.5mA * 120 = 420m V  。

由逻辑"0 "电平变化到逻辑"1 "电平是需要时间的。由于LVDS 信号物理电平变化在0 .85――1 .55V 之间,其由逻辑"0"电平到逻辑"1 "电平变化的时间比TTL 电平要快得多,所以LVDS 更适合用来传输高速变化信号。其低压特点,功耗也低.

三、抗干扰性

0--1电平表示:当输出V+=350MA电流,V-=0ma电流,那么输出的为高电平(在接收端的匹配电阻转换为电压值350mv),反之为低电平

摆幅VOD=共模差值350MV

在实际线路传输中,

V+总电流=A(交流350MA)+D(直流1.2V/100=12MA)-----当然了,最主要的还是差模电压的交流信号

V-总电流=A(交流    0MA)+D(直流1.2V/100=12MA)-----当然了,最主要的还是差模电压的交流信号

差值--(在100欧姆上的电压)=[(350+12)-(0+12)]*100=0.35*100=0.35v=350mv

线路存在干扰,并且同时出现在差分线对上,

V+总电流=A(交流350MA)+D(直流1.2V/100=12MA) +G(干扰8ma)-----当然了,最主要的还是差模电压的交流信号

V-总电流=A(交流    0MA)+D(直流1.2V/100=12MA)+G(干扰8ma)-----当然了,最主要的还是差模电压的交流信号

差值--(在100欧姆上的电压)=[(350+12+8)-(0+12+8)]*100=0.35*100=0.35v=350mv(由于干扰是加在差分线上的所以相等抵消了). 噪声被抑止掉。

上述可以形象理解差分方式抑止噪声的能力。在实际芯片中,是在噪声容限内,采用"比较"及"量化"来处理的。

LVDS 接收器可以承受至少±1V 的驱动器与接收器之间的地的电压变化。由于LVDS驱动器典型的偏置电压为+1.2V,地的电压变化、驱动器偏置电压以及轻度耦合到的噪声之和,在接收器的输入端相对于接收器的地是共模电压。这个共模范围是:+0.2V~+2.2 V 。建议接收器的输入电压范围为:0V~+2.4V.

四、总结

 LVDS(Low Voltage Differential Signaling)是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。

    几十年来,5V供电的使用简化了不同技术和厂商逻辑电路之间的接口。然而,随着集成电路的发展和对更高数据速率的要求,低压供电成为急需。降低供电电压不仅减少了高密度集成电路的功率消耗,而且减少了芯片内部的散热,有助于提高成度。

    减少供电电压和逻辑电压摆幅的一个极好例子是低压差分信号(LVDS)。LVDS物理接口使用1.2V偏置提供400mV摆幅的信号(使用差分信号的原因是噪声以共模的方式在一对差分线上耦合出现,并在接收器中相减从而可消除噪声)。LVDS驱动和接收器不依赖于特定的供电电压,因此它很容易迁移到低压供电的系统中去,而性能不变。作为比较,ECL和PECL技术依赖于供电电压,ECL要求负的供电电压,PECL参考正的供电电压总线上电压值(Vcc)而定。而GLVDS是一种发展中的标准尚未确定的新技术,使用500mV的供电电压可提供250mV 的信号摆幅。不同低压逻辑信号的差分电压摆幅示于图1。

    LVDS在两个标准中定义。IEEE P1596.3(1996年3月通过),主要面向SCI(Scalable Coherent Interface),定义了LVDS的电特性,还定义了SCI协议中包交换时的编码;ANSI/EIA/EIA-644(1995年11月通过),主要定义了LVDS的电特性,并建议了655Mbps的最大速率和1.823Gbps的无失真媒质上的理论极限速率。在两个标准中都指定了与物理媒质无关的特性,这意味着只要媒质在指定的噪声边缘和歪斜容忍范围内发送信号到接收器,接口都能正常工作。 LVDS具有许多优点:①终端适配容易;②功耗低;③具有fail-safe特性确保可靠性;④低成本;⑤高速传送。这些特性使得LVDS在计算机、通信设备、消费电子等方面得到了广泛应用。

2.TMDS信号

HDMI传输原理和DVI相同,由Silicon Image公司发明的TMDS(Time Minimized Differential Signal)最小化传输差分信号传输技术。TMDS是一种微分信号机制,采用的是差分传动方式。这不仅是DVI技术的基础,也是HDMI技术的基础原理。

一、TMDS原理

TMDS差分传动技术是一种利用2个引脚间电压差来传送信号的技术。传输数据的数值("0"或者"1")由两脚间电压正负极性和大小决定。即,采用2根线来传输信号,一根线上传输原来的信号,另一根线上传输与原来信号相反的信号。这样接收端就可以通过让一根线上的信号减去另一根线上的信号的方式来屏蔽电磁干扰,从而得到正确的信号。

每一个标准的HDMI连接,都包含了3个用于传输数据的TMDS传输通道,还有1个独立的TMDS时钟通道,以保证传输时所需的统一时序。在一个时钟周期内,每个TMDS通道都能传送10bit的数据流。而这10bit数据,可以由若干种不同的编码格式构成。

另外,还有一个显示数据通道(DDC),是用于读取表示接收端显示器的清晰度等显示能力的扩展显示标识数据(EDID)的信号线。搭载HDCP(High-bandwidth Digital Content Protection,高带宽数字内容保护技术)的发送、接收设备之间也利用DDC线进行密码键的认证。

  一个HDMI包括3个TMDS数据通道和1个TMDS时钟通道。

  每个数据通道都通过编码算法(异或、异或非等),将8位数据转换成10位数据,前8为数据由原始信号经运算后获得,第9位指示运算的方式,第10位用来对应直流平衡。通过这种算法,会使得数据的传输和恢复更加可靠。

1.传输最小化

  

    第一步:将8位并行RED数据发送到TMDS Tx。

    第二步:并/串转换.

   第三步:进行最小化传输处理,加上第9位,即编码过程。第9位数据称为编码位。

2.直流平衡(DC-balanced)

  直流平衡:在编码过程中,保证信道的直流偏移为零。方法是在原来的第9位数据后面加上1位,使得TMDS发送的"0"、"1"数量保持基本一致。这样,传输的数据趋于直流平衡,使信号对传输线的电磁干扰减少,提高信号传输的可靠性。

3.差分信号

  利用两引脚间电压差来传送信号。

二、HDMI与分辨率

PCLK:像素时钟
以1920x1080p/60hz为例:1920*1080*60=124.4MHz
以1280x720p/60hz为例:1280*720*60=55.3MHz

带宽:1s内传输的数据量(bit)

4K频率需要的带宽:选最常用的3840x2160分辨率,色深的话常用的是8位,RGB三色就是24bit,我们的目标是60Hz刷新率(60fps)→→→ 3840*2160*24bit*60fps=11.94Gbps

 

HDMI1.4像素时钟高达340MHz,即最大带宽是:
              →→→ 340MHz*10bit(10bit编码)*3(3个数据通道)=10.2Gbps

  

但是由于HDMI采用的是8bit/10bit编码方式,实际效率是理论值的80%,所以10.2Gbps能传输的最大视频带宽是10.2*0.8=8.1Gbps

HDMI带宽和TMDS的关系
    而在HDMI标准中所规定的带宽,在1.0版本就设定为最高4.96Gbps。那么这一数值是怎么的来的呢?和TMDS又有什么关系呢?我们看下面的公式:

这是一个适用于所有串口传输接口带宽计算的公式。在所有的数字电路中,都有一个负责提供基本频率的元器件——晶振,它就像是一个精确的闹钟一样,电路中所有的元器件都按照它的节奏统一行动。比方说,某一运算电路的晶振频率是100Hz,就是说这一电路在一秒钟内可以进行100次运算过程。由此可见,晶振的工作频率越高,每秒所能处理的运算次数就会越多,数据的处理能力也就会越强大。而HDMI标准中,这个原理同样适用。

 HDMI电路中的时钟频率,在最初制定时范围从25MHz-165MHz之间,也就是说一个TMDS通道每秒最多能传输165MHz×10bit=1.65Gbit的数据,3个TMDS通道一秒就可以传输1.65×3=4.95Gbit的数据,再加上控制数据,用标准方法表示就是4.96Gbps的带宽。而如果用像素点来表示,那就是一秒可以传输显示1.65G个像素点(一个完整的像素点信息由R/G/B三原色信息构成)所需要的数据量。
    在数字音频方面,HDMI灵活的支持符合IEC60985 L-PCM标准的32kHz、44.1kHz和48kHz、16bit量化的立体声数字音频信号和IEC 61937标准的采样率为192KHz,24bit量化的单路无压缩PCM数字音频信号,或者8路96kHz的声音数据流。此外,在家庭影院中常用的DolbyDigital5.1和DTS数字音频格式也能通过HDMI直接传输。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

硬件设计31之LVDS与TMDS信号 的相关文章

  • 树莓派(ubuntu系统)连接后使用HDMI-VGA,VGA无信号

    与系统无关 xff0c 主要修改config txt文件 详细配置参数见 xff1a http blog lxx1 com 1706
  • 树莓派CM4_Tiny(双HDMI)扩展板在Ubuntu Desktop下驱动OV5647摄像头的操作演示

    关键词 xff1a 树莓派 Compute Module CM4 双HDMI Ubuntu Camera OV5647 概述 xff1a Raspberry Pi Camera是树莓派硬件平台的官方摄像头模块 xff0c 国内有很多兼容摄像
  • OpenCore黑苹果之拯救者14(HD4600)开启HDMI音频

    一般外接显示器用不到HDMI音频 xff0c 但是回家外接电视机有点用 我的型号 xff1a 联想 xff08 Lenovo xff09 拯救者 14 0英寸游戏本 xff08 i7 4720HQ 8G 128G SSD 43 1T GTX
  • 树莓派4b ubuntu mate 18.04设置开机自动登录,解决无HDMI无法开机问题

    一 树莓派4b ubuntu mate 18 04设置开机自动登录 之前安装的是树莓派4b Ubuntu server 的18 04版本 xff0c 通过命令行安装的ubuntu mate 18 04 xff0c 由于官方没有18 04ma
  • RGB_TTL、LVDS、MIPI接口液晶屏学习笔记

    液晶屏有RGB TTL LVDS MIPI DSI接口 xff0c 这些接口区别于信号的类型 xff08 种类 xff09 xff0c 也区别于信号内容 RGB TTL接口信号类型是TTL电平 xff0c 信号的内容是RGB666或者RGB
  • HDMI转CSI转换板给你做出来了

    小编前段时间一直在做无人机图像的项目 因为项目要求飞机飞行速度较快 小编就像找一款是全局快门 防抖 自动对焦 焦距定焦镜头的一款相机和镜头 首先想到的就是gopro 体积不大 价格也还行 小编之前出去玩一直用对成像效果也是很满意 于是小编就
  • Xilinx ZYNQ 7000 HDMI

    High Definition Multimedia Interface HDMI 参考xilinx application note XAPP460 HDMI来自High Definition Multimedia Interface 高
  • odroid x2安装ubuntu系统,HDMI显示,说说折腾事儿。

    安装的是Lubuntu14 04 xff0c odroid官网有下载 xff0c 烧写软件用官网下载的win32disk imager for odroid 不要用通用的win32disk image 可能不行 write烧写完毕之后记得v
  • 解决odroid-XU3的HDMI输出问题

    odroid XU3的板子直接通过microHDMI口连接显示器 xff0c 并没有显示 解决方法是修改 media boot boot ini文件 xff0c 取消屏蔽与HDMI设置相关语句 重启之后 xff0c 解决问题 由于不同的显示
  • 详解LVDS通信协议

    目录 LVDS概述LVDS接口电路的组成LVDS输出接口电路类型单路6位LVDS输出接口双路6位LVDS输出接口单路8位1TL输出接口双路8位1TL输出位接口 典型LVDS发送芯片介绍四通道LVDS发送芯片五通道LVDS发送芯片十通道LVD
  • FPGA基于XDMA实现PCIE X8的HDMI视频采集 提供工程源码和QT上位机程序和技术支持

    目录 1 前言2 我已有的PCIE方案3 PCIE理论4 总体设计思路和方案5 vivado工程详解6 驱动安装7 QT上位机软件8 上板调试验证9 福利 xff1a 工程代码的获取 1 前言 PCIE xff08 PCI Express
  • 硬件设计31之LVDS与TMDS信号

    1 LVDS基础 原理 图文讲解 LVDS是一种低摆幅的差分信号技术 它使得信号能在差分PCB 线对或平衡电缆上以几百Mbps的速率传输 其低压幅和低电流驱动输出实现了低噪声和低功耗 IEEE 在两个标准中对LVDS 信号进行了定义 ANS
  • LCD液晶屏接口和显示器接口介绍

    LCD液晶屏主流显示接口介绍 屏的接口类型种类以及接口定义分析 绝对收藏 I2C SPI UART RGB LVDS MIPI EDP和DP等显示屏接口简要总结 LCD主流显示接口介绍 这个ID洒家要了的博客 CSDN博客 lcd接口定义
  • RGMII(介质独立接口) PCB布线设计

    RGMII 介质独立接口 PCB布线设计 本文主要讲解的是RGMII PCB的设计 包括特性和运用的总结 希望大家看了以后能轻松的应对各种RGMII方案的PCB设计 网络设备一定离不开MAC和PHY 有MAC和PHY的地方就有相应的接口 无
  • HDMI接口之HPD(热拔插)

    HDMI Pin 19 DVI Pin16 的功能是热插拔检测 HPD 这个信号将作为HDMI 源端 Source 是否发起EDID读 是否开始发送TMDS信号的依据 HPD是从HDMI显示器端 Sink 生成并输出送往HDMI 源端 So
  • HDMI中的视频时序分析

    一 前言 建立层次观念 说到时序 我们首先想到的例子是IIC SPI 串口等接口的例子 以我们之前的理解 时序就是传输线上电平随时间变化的顺序 但是但是但是 在HDMI这里 我们应该建立一个新的观念 即时序不一定对应到物理层 即传输线上 这
  • 如何在不root的情况下禁用Android设备的hdmi端口?

    我想知道是否有一种方法可以在不root的情况下禁用android设备的hdmi端口 从 Android 4 2 SDK 级别 17 开始 DisplayManager API http developer android com refer
  • Android 强制 HDMI 音频

    当我的 ADT 1 连接到电视时 无法通过 HDMI 播放声音 在该特定电视上 我必须在 Raspberry PI 上强制使用 HDMI 音频 因此我也尝试在 ADT 1 上强制使用它 我尝试制作一个具有许可的应用程序
  • iPad 2 上带有 HDMI 适配器的黑条

    我的应用程序支持 HDMI 输出 我询问了电视分辨率的代码 得到了 1920 x 1080 px externalScreen bounds 好的 一切都很好 我已经设置了我的视图并在电视上尝试过 BUT 有黑条尽管电视被正确检测为 192
  • Android - 禁用 HDMI

    我的一个 Android 项目需要时不时地在 2 个 HDMI 输入之间切换 可能是一分钟一次 一根 HDMI 输入来自 Android 设备的 HDMI 输出 一根来自外部不可控源 我发现了一个 HDMI 开关 当信号可用时 它可以自动在

随机推荐

  • 模拟定位原理

    背景描述 越来越多的用户通过位置模拟的方式 将自己的位置伪造成任意地点 通过发布有暗示性内容的动态进行用户引流或者杀猪盘操作 今天不讨论这些用户 单纯从技术的角度分析一下位置模拟是怎么实现的 目前常见位置信息模拟方式 基于开发者模式的位置修
  • C++的引用详解

    引用 注意事项 1 引用必须初始化 2 初始化后将不能更改 原因是int p a 相当于 int const p a 使用方法 1 给一个变量起一个别名 2 作为函数参数传参 函数中的操作同样对实参有效 3 作为函数返回值 int func
  • 使用element UI el-upload组件实现视频文件上传及上传进度显示方法总结

    使用element UI el upload组件实现视频文件上传及上传进度显示方法总结
  • Mysql学习笔记3: 查询截取分析

    第 3 章 查询截取分析 1 查询优化 1 1 MySQL 优化原则 mysql 的调优大纲 慢查询的开启并捕获 explain 慢SQL分析 show profile查询SQL在Mysql服务器里面的执行细节和生命周期情况 SQL数据库服
  • 第七周PCL学习--点云配准(七)

    目录 引言 一 点云配准 1 1 定义 1 2 含义 1 3 配准过程 1 4 算法原理 1 5 实验 二 总结 三 参考 引言 随着计算机辅助设计技术的发展 通过实物模型产生数字模型的逆向工程技术 由于它的独特魅力获得了越来越广泛的应用
  • CVE-2023-21839:Oracle WebLogic Server RCE

    CVE 2023 21839允许远程用户在未经授权的情况下通过 IIOP T3 进行 JNDI lookup 操作 当 JDK 版本过低或本地存在小工具 javaSerializedData 时 这可能会导致RCE漏洞 影响版本 Weblo
  • datax的使用以及参数解释,快速入门版

    datax的使用以及参数解释 文章目录 datax的使用以及参数解释 前言 一 datax是什么 二 文件配置说明 1 查看配置文件 2 配置参数解释 3 reader参数解释 4 writer参数解释 总结 前言 本文我们介绍一下data
  • (四)ESP32基于MicroPython平台——驱动TFT-1.44寸屏(SPI)

    一 所需器件工具 1 ESP32 CAM开发板 开发板购买链接 2 TFT 1 44寸屏 TFT 1 44寸屏购买链接 二 硬件SPI接口简介 有两个硬件SPI通道允许更快的传输速率 最高80Mhz HSPI id 1 VSPI id 2
  • 使用yum方式安装nginx,yum方式nginx启动

    yum命令安装nginx 前段时间写了一篇使用安装包编译安装nginx的文章 流程比较多 相对比较复杂一点 因为使用安装包编译安装需要自己安装好nginx需要的环境 今天分享一下使用yum的方式安装 这个要简单很多 1 首先安装yum ut
  • 代码管理_阿里如何管理代码分支

    文章转载自 https mp weixin qq com s 0N3isbSZL4fM5HjZo1aafA 背景 在阿里内部 流行着许多有意思的工程实践 有些实践通过工具和流程嵌在集团的大环境里 外界不容易复制 有些实践则是流露在大家的日常
  • C++移动构造函数

    一 背景 拷贝构造函数又分为浅拷贝和深拷贝 但是存在如下问题 浅拷贝 当类中有指针时 直接复制 会使多个指针指向同一块内存 导致重复析构 深拷贝 每次都是重新赋值一份 这种方法内存消耗较大 因此C 就提供了移动构造函数 当需要动态分配内存或
  • linux上的arm虚拟机,ARM Linux教程之一:安装VirtualBox虚拟机

    虚拟机 Virtual Machine 指通过软件模拟的具有完整硬件系统功能的 运行在一个完全隔离环境中的完整计算机系统 通过虚拟机软件 你可以在一台物理计算机上模拟出另一台或多台虚拟的计算机 这些虚拟机完全就像真正的计算机那样进行工作 例
  • 网易笔试:给出n个物品,每个物品都有自己的价值,每个物品只有一件,这些物品需要分给两个人,要求分配完之后,两个人的物品价值相同。分配完成之后,丢弃剩下的物品,求最少要丢弃多少物品。

    题目描述 给出n个物品 每个物品都有自己的价值 每个物品只有一件 这些物品需要分给两个人 要求分配完之后 两个人的物品价值相同 分配完成之后 会丢弃剩下的物品 求最少要丢弃多少物品 输入 输入第一行为总的测试数据个数 第二行为物品个数n 第
  • mysql 表名 字段名_MySQL 查询所有数据库名和表名及字段名

    MySQL中查询所有数据库名和表名 1 查询所有数据库 show databases 2 查询指定数据库中所有表名 select table name from information schema tables where table s
  • 如何使用Windows学习Linux?

    作为一个开发人员 和服务器打交道是必不可少的 好多开发人员使用的是Windows 要学习Linux就得需要一台linux服务器 简单点使用VMware 或者掏钱各大云厂商购买一台服务器 但是作为初学者 只要你有一台Windows电脑 就可以
  • Spring框架(一)Spring核心,设计理念,创建,优缺点,使用场景···

    目录 一 什么是Spring 二 Spring的优缺点 三 Spring的设计理念和核心 目标 四 什么场景使用Spring 五 创建并使用Spring 六 Spring由哪些模块组成 七 Spring框架使用了哪些设计模式 源码 八 sp
  • Moonbeam开发课程的下一步:Moonbuilder闪亮登场

    本文有所删减 全文链接 Moonbeam开发课程的下一步 Moonbuilder闪亮登场 2021年12月3日 由Moonbeam中文团队与波卡技术社区OneBlock 联合主办的第一期 Moonbeam开发者入门课程 结业典礼以线上直播的
  • 搭建高可用 RocketMQ 集群

    RocketMQ发展历史 RocketMQ是一个由阿里巴巴开源的消息中间件 2012年开源 2017年成为apache顶级项目 RocketMQ在阿里内部应用是非常广泛的 阿里内部的几千个应用都运行在RocketMQ之上 双十一期间需要处理
  • @Resource和@Autowired注解的区别

    介绍 Resource和 Autowired都是做bean的注入时使用 但其实 Resource并不是Spring的注解 它的包是javax annotation Resource 需要导入 但是Spring支持该注解的注入 Spring不
  • 硬件设计31之LVDS与TMDS信号

    1 LVDS基础 原理 图文讲解 LVDS是一种低摆幅的差分信号技术 它使得信号能在差分PCB 线对或平衡电缆上以几百Mbps的速率传输 其低压幅和低电流驱动输出实现了低噪声和低功耗 IEEE 在两个标准中对LVDS 信号进行了定义 ANS