断言语法disable iff

2023-11-10

full_write:assert property(
    
    @(posedge clk_i) disable iff (~rst_ni) (full_o | -> ~push_i))
    
    else $fatal (1, "Trying to push new data although the FIFO is full.");
     

如果disable iff (~rst_ni) (full_o | -> ~push_i)成立,则不启动assert

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

断言语法disable iff 的相关文章

随机推荐