VIVADO软件错误及解决办法汇总

2023-11-10

在VIVADO软件编写程序时会遇到很多类型的错误,写个博客记录下来防止再犯,短期可能只有几个问题,会长期保持更新,遇到问题就记录。

2022.4.09
【问题1】 The debug port ‘u_ila_0/probe4’ has 1 unconnected channels (bits). This will cause errors during implementation

这在使用ILA时常见的错误,意思是有一些接口没有连接。

解决方法:检查ILA的例化。
1、是不是全部的probe都连到了信号上。
2、每一个probe和连接的信号位宽是否一致,不一致的话也会出现这个错误。
一般来说都是这两个方面导致出现这个错误,如果这样还没有解决可以在评论区留言讨论。

补充: ILA连接的信号一定要在当前文件中有定义,比如我的ILA例化是在顶层文件中进行的,连接的信号没在顶层文件,而在其他Verilog文件中,也会出现这个错误。解决的方法要么在要连接信号所在文件中调用ILA,要么就是将连接到信号输入到顶层文件中来。

2022.4.11
【问题2】 Could not generate core for dbg_hub. Aborting IP Generation operaion. The current Vivado temporary directory path

由报错信息可以得知是路径字符数太多。

解决方法:减少路径字符数。

2022.4.21
【问题3】 multi-driven nets:net

错误的产生原因是对同一个信号进行了多次赋值,Verilog中规定了不能在两个always块中给同一个信号赋值。

解决方法:找工程文件中多驱动的信号,看信号的赋值情况。或者直接生成RTL,通过电路查看是否有多驱动信号。

2022.4.21
【问题4】 [Synth 8-448] named port connection ‘s_axis_config_tvalid’ does not exist for instance ‘dds_compiler_0_inst’ of module ‘dds_compiler_0’ [“D:/Study/ZYNQ/ZYNQ_Apan/4_SourceCode/TEST_Project/DA/DA.srcs/sources_1/new/dds_test.v”:43]

写完程序在综合时报错了,这是Windows上Vivado HLS编译器的一个已知问题,其实我上面也提到过,只是报错信息不一样,那就是路径太长。在综合包含基于HLS的 IP的设计时,路径要尽可能的短。

解决方法:减少路径。

2022.4.28
【问题5】 [Synth 8-685] variable ‘xx’ should not be used in output port connection

今天在写用ZYNQ驱动AD芯片的程序,程序写完后综合的时候出现了这个错误。在检查了一遍程序之后发现是一个比较容易犯的但是比较低级的错误。出问题的信号为输出信号,在子模块中定义为reg型,在顶层模块中也定义成了reg型。

解决方法:在顶层模块中将出问题的信号修改为wire类型。

2022.5.12
【问题6】 ordered port connections cannot be mixed with named port connections

解决方法:例化模块时符号错误,检查 “.” 和 “,” 有没有多和少,最后例化的参数后面没有 “,

2022.6.6
【问题6】 [USF-XSim-62] ‘elaborate’ step failed with error(s). Please check the Tcl console output or ‘XXX/sim_1/behav/xsim/elaborate.log’ file for more information.

在仿真时很容易出现的提示信息,一般仿真出现错误都会提示这个,翻译过来也没有什么太多的实质性信息,错误信息只告诉你elaborate步骤失败。

解决方法:这时打开工程目录下,xsim文件夹下名叫elaborate的文本文档,里面会有错误的具体信息,会提示在第几行,就比如我几次遇到的有输出端口未定义,变量位数设置的不对。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

VIVADO软件错误及解决办法汇总 的相关文章

  • Web学习之TypeScript

    文章目录 一 TypeScript是什么 二 TypeScript配置 三 变量声明 四 解构 五 函数 六 类Class 七 模块Module 八 总结 九 学习资料 一 TypeScript是什么 TypeScript是JavaScri

随机推荐

  • PTA 7-38 等边三角形面积

    PTA 7 38 等边三角形面积 数学基础对于程序设计能力而言很重要 对于等边三角形面积 请选择合适的方法计算之 输入格式 测试数据有多组 处理到文件尾 每组测试输入1个实数表示等边三角形的边长 输出格式 对于每组测试 在一行上输出等边三角
  • Ubuntu 14.04 Tab补全忽略大小写

    0 前言 当目录名以大写字母开头时 通过cd命令进入该目录就不太方便 需要切换到大写输入 如果Tab补全可以忽略大小写的话 这个问题就引刃而解 1 设置方法 1 在 目录中创建 inputrc 2 打开 inputrc 添加如下设置 set
  • 面向产品分析的内容

    声明 本文是学习GB T 42859 2023 航天产品质量问题三个面向分析方法实施要求 而整理的学习笔记 分享出来希望更多人受益 如果存在侵权请及时联系我们 1 范围 本文件规定了航天产品质量问题三个面向分析方法实施的一般要求 程序和分析
  • Pandas库基础知识(一)

    文章目录 1 数据结构 1 Series 数据结构 1 Series对象的创建 2 Series对象的属性 3 Series对象的基本操作 2 DataFrame 数据结构 1 DataFrame对象的创建 2 DataFrame对象的属性
  • scrapy-redis分布式爬虫框架详解

    scrapy redis分布式爬虫框架详解 随着互联网技术的发展与应用的普及 网络作为信息的载体 已经成为社会大众参与社会生活的一种重要信息渠道 由于互联网是开放的 每个人都可以在网络上发表信息 内容涉及各个方面 小到心情日志 大到国家大事
  • sqllab 1-6 练习

    前言 什么是sql注入 攻击者通过构造不同的sql语句来实现对数据库的操作 两个关键 参数用户可控 参数带入数据库查询 基本流程 判断注入点 判断字段数 判断回显点 查询相关内容 判断库名 gt 判断表明 gt 判断列名 gt 判断数据 搭
  • xxl-job详细使用指南

    新建任务说明 本篇文章承接上文 xxl job快速入门指南 上一次和大家简单介绍了下 xxl job 的由来以及使用方法 本篇文章将会详细介绍一些高级使用方法及特性 上文中我们在新建一个任务的时候发现有很多的选项 现在我们来详细聊一聊他们的
  • Jquery加载本地文件出现跨域错误的解决方案

    禁止跨域是浏览器的安全限制机制 会报告上述错误 但是可以通过设置来绕过这个限制 如果经常调试前端代码 可以在本机装个web容器 常见的方式 右击chrome快捷方式 选择 属性 在 快捷方式 下的 目标 中添加 allow file acc
  • HT for Web (Hightopo) 使用心得(6)- 3D场景环境配置(天空球,雾化,辉光,景深)

    在前一篇文章 Hightopo 使用心得 5 动画的实现 中 我们将一个直升机模型放到了3D场景中 同时 还利用动画实现了让该直升机围绕山体巡逻 在这篇文章中 我们将对上一篇的场景进行一些环境上的丰富与美化 让场景更真实一些 具体涉及到的知
  • 微信小程序基础入门的知识点

    微信小程序基础入门的知识点 1 窗口配置 就是在我们app json文件就是对我们微信小程序进行全局配置 它决定我们页面文件的路径 设置多个tab 1 1 pages设置页面的路径 数组的第一个就是我们小程序初始页面 文件名不需要我们写文件
  • C语言入门之自定义结构体数据struct Student { int num; char name[20]; char sex; int age; 类型

    用户自己建立由不同类型数据组成的组合型的数据结构 它称为结构体 例如 一个学生的学号 姓名 性别 年龄 成绩 家庭地址等项 是属于同一个学生的 因此组成一个组合数据 如student 1的变量 反映它们之间的内在联系 struct Stud
  • 字节跳动,华为,阿里巴巴,小米,腾讯 2021大厂面试经历系列之初、中、高级测试工程师面试题汇总(附答案)

    前言 最近看到很多人都在找工作 而且很多人都感觉今年找工作比去年难很多 竞争力也增加不少 因此激发我整理这份资料 希望能帮到正在找或者准备找工作的童鞋们 首先我们能否获得一个面试机会 那肯定是从简历开始 简历需要做好功夫 一份好的简历才足够
  • Springboot集成Jasypt实现配置文件加密

    不容错过的成长之旅 Jasypt介绍 Jasypt是一个java库 它允许开发员以最少的努力为他 她的项目添加基本的加密功能 并且不需要对加密工作原理有深入的了解 用于单向和双向加密的高安全性 基于标准的加密技术 加密密码 文本 数字 二进
  • 解决Windows10中文用户名带来软件无法打开的影响

    众所周知 许多国外的开发软件都不支持中文的文件路径名 即使软件的路径无中文字符 可你系统用户的名称是中文 同样软件无法运行 因为大部分软件的在电脑用户上的缓冲文件都是在 C user 你的用户名称 AppData local temp 解决
  • Power BI和Tableau对比分析,到底要学哪个?

    Power BI和Tableau对比分析 到底要学哪个 一 两个工具优缺点 Power BI Tableau 二 职业需求 前程无忧 智联招聘 三 总结 学习tableau还是power bi想必是很多初学者的疑惑 可以从以下两个角度去考虑
  • 一台服务器想用150个ip,可以吗?

    不可以 一台服务器一次只支持一个ip 可以更换 但每次绑定一个 如果需要多个ip 只能多开服务器 挂店铺的 包括淘宝店铺 拼多多店铺 亚马逊店铺都想店铺不被关联 想买台服务器 可以的 一个店铺买一台服务器 有几个店铺就买几台服务器 ip的带
  • 数据结构:(代码篇:004)二叉搜索树 BST(二叉查找树)的基本操作

    1 基本概念 二叉搜索树 是二叉树是真子集 要求 根节点大于或等于左子树的节点值 小于右子树的节点值 他的中序遍历是有序的 基本操作有 查找 插入 删除 建树 查找和插入以及建树都很简单 和二叉树的差不多 删除略微复杂 2 存储结构 str
  • Apche Kafka + Spring的消息监听容器

    目录 一 消息的接收 1 1 消息监听器 二 消息监听容器 2 1 实现方法 2 1 1 KafkaMessageListenerContainer 2 1 1 1 基本概念 2 1 1 2 如何使用 KafkaMessageListene
  • SpringMVC异常处理

    1 概述 SpringMVC 框架处理异常的常用方式 使用 ExceptionHandler 注解处理异常 2 ExceptionHandler注解和用 ControllerAdvice注解 2 1 ExceptionHandler注解 使
  • VIVADO软件错误及解决办法汇总

    在VIVADO软件编写程序时会遇到很多类型的错误 写个博客记录下来防止再犯 短期可能只有几个问题 会长期保持更新 遇到问题就记录 2022 4 09 问题1 The debug port u ila 0 probe4 has 1 uncon