Xilinx 7系FPGA LVDS使用要注意了,供电不能搞错

2023-11-10

最近新做了一块板子,用到Spartan 7芯片对前级视频源叠加OSD菜单,前级会将HMDI转成LVDS送给FPGA处理,在原理图设计阶段没有仔细阅读fpga手册,导致LVDS BANK供电错误,应该接2.5V,实际接3.3V,且BANK供电没有用磁珠隔开,整板3.3V铺在一个平面,导致fpga lvds无法工作。搜集了相关资料,这里做下小结,避免后续再犯类似错误。

------------------------------------------------------------------------------------------------------------------------------------

1、HR和HP banks基本介绍

Xilinx的7系列FPGA有两种IO Bank:HP(High Performace)和HR(High Range)。HP(high-performance)I/O banks的设计目的是为了获取更高的Memory及chip-to-chip间的传输速率;而HR(high-range)I/O banks的设计目的是为了更宽的I/O电平标准。两种BANK的IO口电压不同,其中HR I/O Banks的VCCO电压最大为3.3V,HP I/O banks的VCCO电压最大为1.8V。特别是初次使用7系列时,在硬件设计中特别要注意它们I/O口的最大工作电压,一不注意就会把电压搞错,导致FPGA不能正常工作。

2、HR和HP banks的LVDS电平

当两个banks的I/O口作为LVDS电平时,HR banks的I/O电压VCCO只能为2.5V,HP banks的I/O口电压为1.8V。两个banks支持LVDS的标准不同,HR I/O banks的I/O只能分配LVDS_25标准,HP分配为LVDS标准。

LVDS_25的直流特性如下表所示。

LVDS的直流特性如下表所示。

对比上述两表可知,虽然LVDS_25和LVDS的bank的工作电压不同,但是LVDS电平的直流特性一样。高速AD/DA的LVDS工作电压一般为1.8V,在初次使用7系列时,一些硬件工程师可能担心:HR bank的工作电压为2.5V,那么HR bank的LVDS是否可以直接连接工作电压为1.8V的AD/DA的LVDS接口,通过查看它们LVDS的直流特性,可知可以放心的直接连接。

3、HR banks电压接错LVDS还能使用吗

正如上述所描述,初次使用7系列的硬件工程师,需要把1.8V工作电压AD/DA的LVDS接口连接到FPGA时,设计人员把FPGA HR banks的I/O口电压VCCO直接设计跟AD/DA I/O一样的电压为1.8V,由于没有仔细查看FPGA的数据手册,导致设计存在缺陷。当电路板加工、贴片回来才知道设计有问题时,心里肯定忐忑不安,这样低级错误领导肯定得盘我了。此时,千万别慌张,不要想着立马重来一版,想着如何可以弥补,即使不能保证完全可用,也至少得验证一些功能,让此版电路板有所价值,不能做出一版废的电路板,这是大部分硬件工程师的愿望。笔者遇到过此问题,验证过即使HR I/O电压设计为1.8V,同样可以作为LVDS使用。也通过咨询过Xilinx官方技术人员,I/O电压主要影响LVDS的阻抗匹配。那么电压不对就无法保证LVDS速率达到理论速率,具体能到多少速率那就看自己的“人品”了。

----------------------------------------------------------------------------------------------------------------------------------

xilinx 7系列芯片不再支持LVDS33电平,在VCCO电压为3.3V的情况下无法使用LVDS25接口。

有些设计者想通过在软件中配置为LVDS25,实际供电3.3V来实现LVDS33也是无效的,原因是xilinx 7系列芯片在IO配置方面增加了过压保护,因而无法通过欺骗综合软件的方式强行配置IO,具体参见

 7-Series SelectIO Resources Guide, page 100, Note 2 states:

"if the voltage exceeds 2.85V, the outputs will be in a high-Z state. The device should always be operated within the recommended operating range as specified in the 7 series FPGA data sheets."

虽然在VCCO为3.3V情况下无法输出LVDS25,但可以作为输入进行使用,具体参见AR#43989 https://www.xilinx.com/support/answers/43989.html


即使VCCO电平不是1.8V,在HP I / O bank中也可以使用LVDS输入。 LVDS输出(因此双向LVDS)只能用于1.8V供电的组。

同样,即使VCCO电平不是2.5V,也可以在HR I / O bank中使用LVDS_25输入。 LVDS_25输出(因此双向LVDS_25)只能用于2.5V供电的bank。

查了上述说法之后,我们自己试验下:

实验验证:

Bank14为HR Bank,差分输入以及差分输出使用LVDS电平:

提示说明,LVDS电平不支持HRbank。

差分输入以及差分输出使用LVDS25电平:

提示VCCOs矛盾,输出差分时钟sys_clk_out_p,要求VCCO=2.5V,但对输入并没有这种要求。

验证了:

即使VCCO电平不是1.8V,在HP I / O bank中也可以使用LVDS输入。 LVDS输出(因此双向LVDS)只能用于1.8V供电的组。

同样,即使VCCO电平不是2.5V,也可以在HR I / O bank中使用LVDS_25输入。 LVDS_25输出(因此双向LVDS_25)只能用于2.5V供电的bank。

官方更详细说明:

1、Xilinx Customer Community

2、Xilinx Customer Community

//补充说明:FPGA中的 LVDS LVDS33 LVDS25

这仅仅是一种命名,对于XILINX FPGA来说,LVDS25意味着bank的供电电压VCCO采用的是2.5V,LVDS33意味着bank的供电电压VCCO采用的是3.3V,只是在代码中使用LVDS信号时,需要将管脚分别约束为LVDS25和LVDS33。但是!!FPGA引脚输出的LVDS电平都是标准LVDS电平,一般共模电压在1.25V,摆幅是350mV。下图是FPGA官方给出的ds926手册,可以看到LVDS25和LVDS真的只是VCCO不同而导致的命名不同,但FPGA引脚输出的电平都是标准LVDS。

这就会导致一些问题,经常会有人问LVDS25、和LVDS33以及LVDS的芯片(或者FPGA约束)到底能不能兼容?答案是可以的,因为现在市面上正常情况下大部分公司的产品就只会用一种标准的LVDS电平,也就是上述所说的。因此,在这次和对外对接的时候,对方的LVDS芯片使用的是3.3V供电电压,对方就说是自己的标准是LVDS33,而我这边FPGA是在HP BANK产生的LVDS信号,刚开始我也以为不兼容,但查看了网上的资料和对方使用的芯片的手册,也就发现了这个问题。一个小小的LVDS电平问题,直到今天遇到了问题才了解明白。

区别仅在于零件的内部。
LVDS33需要3.3V Vcco,LVDS25需要2.5 Vcco(或者对于较新的部件,它可能使用Vccaux)。
引脚上的信号接口是相同的。
即两种情况下的电压摆幅和共模电压相同,因此您可以将其视为LVDS。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Xilinx 7系FPGA LVDS使用要注意了,供电不能搞错 的相关文章

  • Quartus II 安装

    本次介绍使用的 Quartus 版本为 10 1 目前 Quartus II 官网已经没有 13 1 以下版本的安装包 大家可以安装 13 1 以上版本的软件 功能都是大同小异 下载地址 FPGA Software Download Cen
  • 在vivado中使用tcl脚本(UG894)

    本文源自UG894 主要介绍如何在vivado中使用tcl脚本 1 vivado中如何获取tcl help vivado中任何自带的命令都可以通过 help 获取帮助信息 也可以直接输入 help 取得vivado命令合集 并通过 help
  • PRBS笔记

    1 概述 PRBS 伪随机二进制序列 也称为伪随机码 通常被用来测试高速信号的信号质量 伪随机 也说明了该码流并不是真正的随机 而是具有特性属性 码流由 多项式 决定 具有重复周期 PRBS具有多种阶数 如PRBS7 PRBS15 PRBS
  • STM32【复习串口】

    串口复习加深理解笔记 杂谈 USART FLAG TXE发送缓冲区空标志 说明可以往数据寄存器写入数据了 但并不代码数据发送完成了 USART FLAG TC发送完成标志 这个才是代表USART在缓冲区的数据发送完成了 即从机接收到了数据
  • 平头哥(T-Head )开源RISCV处理器OpenC906 RTL仿真

    在过去的几年里 阿里集团平头哥陆续推出了几款RISCV处理器 有些处理器已经在产业界得到了应用 比如在某志的D1处理器中 就嵌入了平头哥的玄铁C906内核为 芯 RISCV虽然是一个开放标准 并且网络上也不乏一些开源核的RTL实现 但是商用
  • DDR的VTT有源端接和无源端接(slua886a笔记)

    DDR的VTT有源端接和无源端接 slua886a笔记 背景 对于DDR的VTT端接 一直有说法是有源端接可降低功耗 之前一直没仔细理解其中原因 现在找了些相关的资料来介绍和对比有源和无源端接 理解有源端接的优点和降低功耗的原理 主要基于读
  • PLL时钟约束

    方法 1 自动创建基时钟和 PLL 输出时钟 例 derive pll clocks 这一方法使您能够自动地约束 PLL 的输入和输出时钟 ALTPLL megafunction 中指定的 所有 PLL 参数都用于约束 PLL 的输入和输出
  • SD卡读写实验(SPI模式)

    对于 SD 卡的 SPI 模式而言 采用的 SPI 的通信模式为模式 3 即 CPOL 1 CPHA 1 在 SD 卡 2 0 版 本协议中 SPI CLK 时钟频率可达 50Mhz SD 卡的 SPI 模式 只用到了 SDIO D3 SP
  • 笔试

    文章目录 前言 40 复位电路设计 1 recovery time和removal time 2 同步复位和异步复位 3 异步复位同步释放 本文参考 往期精彩 前言 嗨 今天来学习复位电路设计相关问题 微信关注 FPGA学习者 获取更多精彩
  • FPGA的基本设计流程

    FPGA开发主要包括系统设计 设计输入 功能仿真 综合优化 综合后仿真 实现与布局布线 时序方针与验证 板级方针与验证 芯片编程与调试等9个部分 如下图所示 1 电路设计 在系统设计之前 首先要进行的是方案论证 系统设计和FPGA芯片选择等
  • 【FPGA】:频率测量

    转载 1 FPGA频率测量的三种方法 直接测量法 间接测量法 等精度测量法
  • FIFO读写控制

    如果在两个模块之间传输数据 两个模块之间的处理速率不同 会导致采集数据的遗漏或错误 在他们之间加一个数据缓存器 所有数据先经过缓存器缓存 再输入数据接送模块 创建两个模块 一个 作为发送模块 一个作为接受模块 发送模块检测到 fifo为空开
  • Verilog实现两路组相联cache

    cache代码 timescale 1ns 1ps cache共32块 分为16组 每组2块 即两路组相联 1块 4字 1字 4字节 主存共1024块 4096个字 主存地址共12位 1 0 为块内偏移 5 2 为组地址 11 6 为Tag
  • 八段数码管动态显示(输入数据为BCD编码)

    八段数码管动态显示 输入数据为BCD编码 一 数码管概述 图1 八段共阴数码管内部等效原理图 图2 八段共阳数码管内部等效原理图 上面两图分别是对应八段共阴 共阳的数码管内部等效图 共阴是将八个LED数码管的阴极连接在一起接低 阳极segm
  • 【数字IC】从零开始的Verilog SPI设计

    从零开始的Verilog SPI协议设计 一 写在前面 1 1 协议标准 1 2 数字IC组件代码 1 3 设计要求 1 4 其他协议解读 1 4 1 UART协议 1 4 2 SPI协议 1 4 3 I2C协议 1 4 4 AXI协议 二
  • FPG—VGA显示器字符显示(附代码)

    目录 1 实操 1 1 字符取模 1 2 顶层模块 1 3 图像数据生成模块 1 3 1 模块框图 1 3 2 波形图绘制 1 3 3 代码编写 1 3 4 仿真验证 2 总结 本例程大部分与VGA显示驱动内容相同 只是显示部分改变了 故此
  • [HDLBits] Exams/ece241 2014 q7a

    Design a 1 12 counter with the following inputs and outputs Reset Synchronous active high reset that forces the counter
  • 【FPGA多周期时序约束详解】- 解读FPGA多周期时序约束的全过程

    FPGA多周期时序约束详解 解读FPGA多周期时序约束的全过程 FPGA作为数字电路设计的常见工具 其设计中必然会遇到时序约束的问题 而多周期时序约束更是FPGA设计中不可避免的难点之一 本文将详细介绍FPGA多周期时序约束的全过程 并结合
  • Matlab图像处理系列——图像复原之噪声模型仿真

    微信公众号上线 搜索公众号 小灰灰的FPGA 关注可获取相关源码 定期更新有关FPGA的项目以及开源项目源码 包括但不限于各类检测芯片驱动 低速接口驱动 高速接口驱动 数据信号处理 图像处理以及AXI总线等 本节目录 一 图像复原的模型 二
  • MINI-UTDE 10 BASE-T 集成控制器

    MINI UTDE 10 BASE T 集成控制器 MINI UTDE 10 BASE T 拥有多达三个本地I O板和远程I OS总线通信 为用户提供了一系列生产单元功能的单一控制点 包括诸如夹头 反馈器和辅助机器等外围生产设备 支持所有主

随机推荐

  • CV应用场景

    图像分类 已经成熟 目标检测 需调研具体场景 3D目标检测 暂无场景部署 视频目标检测属 目标跟踪 分割 语义分割 实例分割 全景分割 视频目标分割 超像素分割 具体应用场景 车道线检测 压缩与NAS NAS 模型压缩 剪枝 量化 蒸馏 G
  • TortoiseGit 入门指南03:将修改提交到版本库

    你现在应该已经有了一个仓库 在工作过程中会对项目做一些修改 比如添加代码 修复错误等等 你将不定时的将这些更改 提交 commit 到代码仓库 术语 提交 是将 暂存区 内容放入 版本库 这个过程涉及到 Git 的一些基本概念 需要在这里说
  • LeetCode-169-多数元素-简单(排序/摩尔投票法/哈希表/随机数/位运算)

    一 题目 给定一个大小为 n 的数组 找到其中的多数元素 多数元素是指在数组中出现次数 大于 n 2 的元素 你可以假设数组是非空的 并且给定的数组总是存在多数元素 二 示例及提示 示例 1 输入 3 2 3 输出 3 示例 2 输入 2
  • 根据地理信息获取经纬度

    同步坐标 function synchronizationCoordinate var url http maps google com maps api geocode json address encodeURIComponent va
  • Linux vfs各种operation操作介绍

    1 ext4文件系统定义的各种操作 普通文件操作 const struct file operations ext4 file operations llseek ext4 llseek read iter generic file rea
  • MySQL——修改root密码的几种方法

    方法1 用SET PASSWORD命令 首先登录MySQL 格式 mysql gt set password for 用户名 localhost password 新密码 例子 mysql gt set password for root
  • C++ Primer Plus 第二章编程练习

    整理了我自己编写的课后题答案 如果有问题或者看不懂的欢迎大家留言 小声说 所有内容纯手打 点个赞再走呗 第二章编程练习题 Practice 1 Practice 2 Practice 3 Practice 4 Practice 5 Prac
  • mysql忘记密码及ssh连接

    mysql忘记密码 我们在安装mysql或者其他的时候会遇到忘记密码的时候 这时候就需要对密码进行重置 话不多说 直接上步骤 1 停止当前mysql服务 service mysqld stop 2 然后通过跳过权限验证启动mysql服务 m
  • 2008年7月51CTO.com十大热点文章排行榜

    刚刚过去的7月 热点新闻和精彩的技术文章还是不少的 以下是51CTO com各主要频道的精彩实用文章及简介 经典实用文章推荐 组网频道7月热点 网管人员必备的常用命令 Windows环境下有很多通过命令实现网络管理的非常有效的工具 可惜知道
  • 11-5 读写一行字符

    1 读一行字符 gets 与 gets s 都可以用做读取用户控制台输入的一行字符 gets 仅接收一个参数 char 意为读取到换行符时将读取内容全部保存到 char 中 该函数的问题在于无法判断出读取到换行符之前共有多少字符 故 cha
  • 在Repeater控件中创建可隐藏区(原作)

    在Repeater控件中创建可隐藏区 原作 最新的一篇作品 发表在天极网上 http dev yesky com SoftChannel 72342371945218048 20041227 1893718 shtml
  • Nginx 增加二级目录的反向代理时,最常见的两个问题

    当我们想在某个Nginx网站中增加一个两级目录 当然也可以是很多级 作为反向代理时 如果使用常见的单个Nginx反向代理配置的方法 非常容易遇到配置有问题的情况 主要由如下两个问题造成 1 因为不是独立配置反向代理 所以Nginx Conf
  • 数学建模论文常用LaTeX代码(2021美赛)

    数学建模论文常用LaTeX代码 图片 单图 begin figure htbp centering includegraphics width 9 textwidth XXX pdf 图片相对位置 caption xxx 图片标题 labe
  • Ts学习笔记

    any 任何类型都可以赋值给any any也可以给任何类型赋值 unknown 任何类型可以赋值给 unknown 但是 unknown 类型赋值给其它类型需要对其进行类型缩小 type 类型一般都是大写字母开头 type Fish nam
  • 敏捷开发知识体系笔记

    敏捷开发知识体系整体框架 敏捷开发工程实践 项目管理 迭代开发 风险价值生命周期 多级项目规划 完整团队 每日站立会议 任务板 燃尽图 需求管理 需求订单 业务流程草图 用例驱动开发 用户故事 架构 演进的架构 演进的设计 基于组件的架构设
  • 同步服务器安装系统,时间同步服务器的配置方法

    知道什么是时间同步服务器的配置方法吗 下面是学习啦小编跟大家分享的是时间同步服务器的配置方法 欢迎大家来阅读学习 时间同步服务器的配置方法 方法 步骤 双击任务栏右下角 时间 打开 时间和日期 属性 设置对话框 2选择 Internet时间
  • SimpleDateFormat用法详解

    SimpleDateFormat类是一个以语言环境敏感的方式来格式化和解析日期的工具类 它允许你将日期格式化为字符串 或从字符串解析为日期 格式化日期为字符串 SimpleDateFormat sdf new SimpleDateForma
  • 在linux下编译多线程需要如下设置

    编译时这样输入命令 gcc xxx c o xxx out lpthread
  • LeetCode知识点总结 - 1710

    LeetCode 1710 Maximum Units on a Truck 考点 难度 Sorting Easy 题目 You are assigned to put some amount of boxes onto one truck
  • Xilinx 7系FPGA LVDS使用要注意了,供电不能搞错

    最近新做了一块板子 用到Spartan 7芯片对前级视频源叠加OSD菜单 前级会将HMDI转成LVDS送给FPGA处理 在原理图设计阶段没有仔细阅读fpga手册 导致LVDS BANK供电错误 应该接2 5V 实际接3 3V 且BANK供电