vhdl语言入门_初学Chisel语言,看这篇就够了:最方便简洁的入门资料整理

2023-11-15

声明:本文是我一个很优秀的学生总结的,放出来供广大chisel语言爱好者参考。

Chisel(Constructing Hardware In a Scala Embedded Language)是UC Berkeley开发的一种开源硬件构造语言。它是建构在Scala语言之上的领域专用语言(DSL),支持高度参数化的硬件生成器。
引用自: https://www. chiselchina.com/

据DARPA调研给出的数据,过去的几十年间,以年为时间度量单位的芯片开发周期已经成为阻碍芯片设计行业创业的重要阻力。尽管VerilogHDL曾经极大地推动了工程师设计能力的以指数级提升,但是在当下,随着芯片规模尤其是AI芯片规模的急剧增大,VerilogHDL低效的描述方式已经被广为诟病。U.C. Berkeley在设计RISC-V的时候引入Chisel语言,其高抽象程度的描述方式让沉闷已久的芯片设计领域为之震动。未来是否属于chisel,还尚未可知,但是我们可以先睹为快。


1.Chisel3源码开源地址:https://github.com/freechipsproject/chisel3

Chisel目前已经出到3.2.2版本,我们通常说的Chisel基本上指Chisel3 github主页上有个wiki可以作为了解Chisel轮廓的材料,介绍了各个模块的使用,包括组合逻辑、寄存器调用、BlackBox、多时钟域等。

2.Bootcamp: https://github.com/freechipsproject/chisel-bootcamp

Chisel官方维护的一个教程,可在线运行也可clone到本地运行,给出了Chisel的多个完整开发例程,也介绍了Scala和firrtl(Chisel后端,Chisel先翻译为firrtl,在由firrtl翻译成verilog、VHDL),入门首选

3.Chisel-book: https://github.com/schoeberl/chisel-book

官方推出的一本Chisel教程的电子书,可从github主页release中下载pdf。书中也附带了代码,详情可参考github主页。

4.博客https://blog.csdn.net/qq_34291505/article/details/86744581

西安交大一位研究生写的可以说是国内目前唯一的较为完整Chisel教程,从Scala到Chisel,已经一些高级功能的介绍。

5.项目模板:https://github.com/freechipsproject/chisel-template

chisel项目模板,当想从头开发自己的Chisel模块的时候,github主页上由完整的使用教程,介绍了如何从这个模板开始自己的Chisel模块开发流程。

6.关于Chisel环境的搭建,只需要安装sbt(scala build tool,scala构建工具,https://www.scala-sbt.org/1.x/docs/ ),之后参考该github主页,所有的依赖都写在了build.sbt文件中,所以第一次在项目目录下运行sbt的时候会下载大量依赖,速度较慢,完成后环境就搭建好了,非常简单。

7.关于开发工具,vscode或者idea,强烈推荐idea,idea目前是世界上最好的java类语言的ide,其具有完善的语义补全、跳转机制,由社区免费版可以使用。

8.Scala教程:互联网 《快学Scala第二版》《Scala编程第三版》

因为Chisel依托于Scala,就像Numpy依托于python,Chisel中可以使用任何Scala的数据结构,因此要想用好Chisel,Scala编程需要过关,Scala比Python难一个数量级,但其内置的各种高级语法,会使编写的时候很舒服,但review的时候很痛苦,因此Scala程序要养成很好的写注释习惯。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

vhdl语言入门_初学Chisel语言,看这篇就够了:最方便简洁的入门资料整理 的相关文章

  • Spring注入service为null另类解决办法 工具类 一般类 静态 非controller

    本文转载自 http www cnblogs com allforone p 4108862 html 系统为SpringMVC框架 在开发的过程中有一些工具类需要调用下由spring管理的service层 但是一进注入不进来 报null异
  • 高德地图获取行政区一直报no_data

    代码 let that this this map new AMap Map container zoom 20 级别 center 120 26 30 18 中心点坐标 mapStyle amap styles darkblue 设置地图
  • 【复习笔记】Java基础理论知识,Android小程序开发实例

    lib目录 存放Java的类库文件 src rar文件 构成Java平台核心API的所有类的源文件 5 main 方法 main 方法是Java程序的入口 一个程序只能有一个main 方法 6 转义字符 n 换行 t 制表位 一个制表位等于
  • tshark在流量分析中的绝佳应用(超详细)

    目录 简介 参数 tshark与wireshark对比 基本命令 获取数据包摘要信息 显示时间格式 捕获过滤器 捕获并保存数据包 显示过滤器 区分显示过滤器和读取过滤器 一些好用的显示过滤器 e参数的应用 HTTP中的应用案例 mysql中
  • 在keil开发平台中,常用的Debug菜单命令

    在keil开发平台中 常用的Debug菜单命令如下 start stop debugsession 开始或者停止调试操作 run 一直运行到下一个活动的断点 停止点 如果没有断点 则一直循环运行 step 以行为单位 单步执行代码 step
  • FreeMarker由浅入深之一 FreeMarker简单介绍

    一 什么是FreeMarker FreeMarker是一个用Java语言编写的模板引擎 它基于模板来生成文本输出 所谓模板 就是一份已经写好了基本内容 有着固定格式的文档 其中空出或者用占位符标识的内容 由使用者来填充 不同的使用者给出的数
  • 设置访问权限_一项一项教你测等保2.0——Windows访问控制

    一 前言 随着社会的进步和科技的发展 新技术 新业务下的产品与服务不断创新与升级 云服务 大数据 物联网 移动互联及工业控制等新技术广泛应用 使用多年的等保1 0相关系列标准在适用性 时效性 易用性 可操作性上已经无法满足新时代的要求 并且
  • 注册adobe账号成功访问被拒绝

    安装photoshop2020后需要登录adobe账号 登录adobe账号成功但提示访问被拒绝 出现这种情况建议换个邮箱重新注册 而且国家注意不要选中国
  • XO

    时钟信号是处理器电路开始工作的基本条件之一 在电路中有着非常重要的作用 当智能手机接上电源之后 电源电路两端就会产生3 7V的电压 这个电压直接为处理器内部的振荡器供电 随即时钟电路开始工作 为处理器芯片内部的微处理器电路中的开机模块提供所
  • SpringBoot项目创建报:java.lang.ClassNotFoundException: org.springframework.boot.SpringApplication错误的解决方案

    第一次创建SpringBoot项目结果出现了不少错误 其他错误都通过百度的方式得到解决 唯独这个错误困扰我很久了 而且百度上没有对于这种错误的解决方案 所以给出这种错误的解决方案 虽然明显知道是jar包问题 不管怎么clean怎么的还是没能
  • 数据结构 - 队列 && 环形队列(循环队列)

    文章目录 队列介绍 数组模拟队列设计思路 数组模拟队列代码实现 环形队列相关知识说明 队列长度公式推导 数组模拟环形队列代码实现 队列介绍 队列是一个有序列表 可以用数组或是链表来实现 遵循先入先出的原则 即 先存入队列的数据 要先取出 后
  • yum安装 ssh:Failed to download metadata for repo ‘AppStream‘

    通过yum安装ssh执行命令 yun install openssh openssh server openssh clients 会报错 Failed to download metadata for repo AppStream 各种尝
  • 升压稳压原理

    文章目录 降压稳压 升压稳压 芯片的作用 储能公式 降压稳压 降压稳压原理相对简单 将芯片当成一个 自动可变电阻 即可 这样就可以保证输出为恒定的电压值 不过需要注意的是 这块 自动可变电阻 有极限值 比如对于7805来说 降压差不可少于2
  • 基于mnist数据库的CNN卷积神经网络手写数字识别MATLAB仿真

    目录 一 理论基础 二 核心程序 三 仿真结论 一 理论基础 手写数字识别是计算机视觉领域的一个重要问题 它在很多应用中都有广泛的应用 如自动化识别 自然语言处理 人机交互等 基于MNIST数据库的CNN卷积神经网络手写数字识别是其中一种常
  • 谷歌开源图片压缩算法Guetzli实测体验报告

    作者 王亚军 编辑 宋秉金 王亚军 腾讯工程师 负责OMG无线新闻 腾讯视频图片服务等的业务运维工作 阅读原文 更多技术干货 请访问腾云阁 谷歌大神又出开源新技术啦 这次是对JPEG格式的图片采用全新算法重新编码 输出的图片还是JPEG但是
  • Docker入门笔记

    Docker 技术的三大核心概念 镜像 Image 容器 Container 仓库 Repository 在线练习docker https labs play with docker com URL 工具 curl 的用法指南 https
  • Ant Design Pro 关闭mock数据 改成使用服务器API

    Ant Design Pro中默认是使用了mock数据的 由于在做项目之前没有学习过react dva redux umi这些基础组成知识 对我这个新手来说确实比较辣手 首先修改config目录下config js的proxy属性 将我们的
  • [激光原理与应用-35]:《光电检测技术-2》- 光学测量基础 - 认识光源

    目录 一 对光源的基本要求 二 光源的定义 三 光源的分类 四 产生途径 五 电光效应发光 5 1 发展历史 5 2 发展前景 5 3 主要种类 一 对光源的基本要求 二 光源的定义 光源是一个物理学名词 能发出一定波长范围的电磁波 包括可
  • ffmpeg + x264+ x265 + libde265 + opengl es display

    这是手机直播推送流 https github com wangzuxing MyFFmpegH264H265YUVOpenGL https github com jacke121 MyFFmpegH264H265YUVOpenGL

随机推荐

  • 经典的数学问题,“天平称重问题”——java代码实现,原理及解析

    天平称重原理 首先 我们知道 一个数 N 的二进制表示中最高位的位数加一 就是 N 在二进制下的位数 也就是最高位是第几位 例如 如果 N 10 那么 N 的二进制表示是 1010 其中最高位是第四位 所以 N 在二进制下的位数为 4 接下
  • LeetCode 每日一题 2023/8/28-2023/9/3

    记录了初步解题思路 以及本地实现代码 并不一定为最优 也希望大家能一起探讨 一起进步 目录 8 28 57 插入区间 8 29 823 带因子的二叉树 8 30 1654 到家的最少跳跃次数 8 31 1761 一个图中连通三元组的最小度数
  • 利用Dom4j解析xml文档

    本次我将学习利用Dom4j中的SAXReader 还有个是DOMReader 来解析xml 文档 解析的xml为 test xml 源码如下 lt 学生名册 gt lt 学生 学号 1 gt lt 姓名 gt 张三 lt 性别 gt 男 l
  • but no declaration can be found for element 'aop:aspectj-autoproxy'.

    1 错误描述 Multiple annotations found at this line cvc complex type 2 4 c The matching wildcard is strict but no declaration
  • Java设计模式(25):责任链模式(职责链模式)

    25 责任链模式 25 1 问题引入 采购需求 采购员需要采购一批教学器材 对器材采购金额有分级审批权限 如果金额小于等于3000元 由教学主任审批 0 lt x lt 3000 如果金额小于等于10000元 由院长审批 3000 lt x
  • 服务器网站关联数据库,web服务器如何与数据库关联

    web服务器如何与数据库关联 内容精选 换一换 通过PostgreSQL客户端连接实例的方式有非SSL连接和SSL连接两种 其中SSL连接通过了加密功能 具有更高的安全性 登录弹性云服务器 创建并登录弹性云服务器 请参见 弹性云服务器快速入
  • 【Transformer】13、Augmented Shortcuts for Vision Transformers

    文章目录 一 背景 二 动机 三 方法 3 1 增强残差连接 3 2 使用循环映射进行高效实现 四 效果 论文链接 https arxiv org abs 2106 15941 代码链接 未开源 一 背景 Transformer 已经在计算
  • Cocos2d-x的SprideMonkey的JavaScript与C++的交互(一) - 函数操作

    最近也是换了份新工作 搞棋牌平台了 标新立异呵呵 哥哥使用node js来开发这套东东 公司什么底子也没有 在西安就这样吧 最近正在搞 扑克 由于是服务器很多逻辑都是js的所以 希望cocos2d x的客户端也可以js搞起 但是已经用c 写
  • perl regex是什么_如何在Perl单行代码中使用Regex匹配多行

    perl regex是什么 Perl one liners with perl s regular expression statement can be a very powerful text processing tools used
  • python读取、显示图片及灰度转换的方法

    目录 matplotlib PIL opencv 中文路径问题 灰度转换 python常用的图片操作库有matplotlib PIL以及opencv 我用jupyter notebook对这三种方法进行了尝试和比较 matplotlib m
  • 信创领航,合作共赢

    参与本次会议的成员有 广州天河信创产业联盟秘书长林坚武 广州天河科技园信息产业联合会秘书长王飞 北明软件事业部总经理周丽娟 盛祺信息副总经理闫建 天亿马营销中心总经理梁志杰 观必达总经理缪明宝 省信息工程资源部总监周燕莉 高科信息生态总监王
  • DHCP详解及实操

    目录 一 DHCP简介 二 DHCP工作原理 租约四部曲 续租 1 租约四部曲 编辑 2 续租 编辑 三 DHCP网络实验准备 3 1 准备实验环境 3 2 DHCP相关信息 四 DHCP实验部署 4 1 DHCP基本功能实验 4 2 保留
  • log4net配置(web中使用log4net,把web.config放在单独的文件中)

    成功例子一 vs2003建立的asp net项目 log4net文件放在web config文件中 1 添加log4net dll的引用 可以用源码自己生成 无所谓了 下载dll都行 2 web config中添加 注意放对位置 在
  • Redis学习:redis.conf详解

    Redis conf配置文件是关于Redis重要属性的 配置好redis conf 了解里面的属性很重要 下面是我从github上直接拷贝的代码 Redis configuration file example Note that in o
  • 设计模式-桥接模式

    文章目录 前言 桥接模式的核心概念 为什么要使用桥接模式 桥接模式的示例代码 使用场景 桥接模式优缺点 前言 当我们谈到设计模式时 桥接模式 Bridge Pattern 是一种结构型设计模式 用于将抽象部分与其实现部分分离开来 以便它们可
  • 数据驱动的数字化转型:从流程驱动到数据驱动

    数字化时代已经到来 1996年的时候 Being Digital 的作者Negroponte就提出数字化生活的概念 而20年以后的今天 我们已经进入了数字化的生活 移动互联网 物联网 手机 各种社交媒体 电子支付等各种数字化技术把我们的生活
  • top查询机器情况

    top命令 是Linux下常用的性能 分析工具 能够实时显示系统 中各个进程的资源占用状况 类似于Windows的任务管理 器 下面详细介绍它的使用方法 top 02 53 32 up 16 days 6 34 17 users load
  • 虚拟机Ubuntu与主机复制文字

    执行以下几条命令即可 sudo apt get install open vm tools sudo apt get install open vm tools desktop 重启
  • 【翻译】白人男性在改善性别多样性方面的作用是什么?

    我们都知道 或者说现在应该知道 多元化的团队和组织更成功 更有创造力 有更好的留任率 并能带来更健康的工作场所文化 强调这些观点的数据是很多的 然而 技术团队在这方面往往是落后的 艾米丽 张在她的书 Brotopia 中认为 在一个如此有力
  • vhdl语言入门_初学Chisel语言,看这篇就够了:最方便简洁的入门资料整理

    声明 本文是我一个很优秀的学生总结的 放出来供广大chisel语言爱好者参考 Chisel Constructing Hardware In a Scala Embedded Language 是UC Berkeley开发的一种开源硬件构造