高级FPGA设计技巧!多时钟域和异步信号处理解决方案

2023-11-15

8d1416b2358cb8b42f14cc0010be5e62.png

有一个有趣的现象,众多数字设计特别是与FPGA设计相关的教科书都特别强调整个设计最好采用唯一的时钟域。换句话说,只有一个独立的网络可以驱动一个设计中所有触发器的时钟端口。虽然这样可以简化时序分析以及减少很多与多时钟域有关的问题,但是由于FPGA外各种系统限制,只使用一个时钟常常又不现实。FPGA时常需要在两个不同时钟频率系统之间交换数据,在系统之间通过多I/O接口接收和发送数据,处理异步信号,以及为带门控时钟的低功耗ASIC进行原型验证。本章讨论一下在FPGA设计中多时钟域和异步信号处理有关的问题和解决方案,并提供实践指导。

这里以及后面章节提到的时钟域,是指一组逻辑,这组逻辑中的所有同步单元(触发器、同步RAM块以及流水乘法器等)都使用同一个网络作为时钟。假如设计中所有的触发器都使用一个全局网络,比如FPGA的主时钟输入,那么我们说这个设计只有一个时钟域。假如设计有两个输入时钟,如图1所示,一个时钟给接口1使用,另一给接口2使用,那么我们说这个设计中有两个时钟域。

44be73d2055ba641eeb5339a8e20418d.png

图1:双时钟域设计


平时我们在设计中遇到的门控时钟、衍生时钟以及事件驱动的触发器都可归为时钟域类别。如图2所示,通过一个简单门控时钟创建了一个新的时钟域。我们知道,这类时钟控制在FPGA设计中并不被推崇(可以使用时钟使能替代时钟门控),然而它却非常有利于我们理解时钟域这一概念。

本章我们将着重详细讨论以下主题:

l  两个不同时钟域之间传输信号。

n  亚稳态的产生以及对设计的可靠性的影响

n  通过相位控制避免亚稳态

n  在时钟域之间传输单个信号,将信号打两拍

n  使用FIFO在时钟域之间传输多位数据

n  使用分区同步器模块提高设计的组织架构

l  处理ASIC验证原型里的门控时钟

n  建立一个单时钟模块

n  自动门控移除

2f9d69382e65b7cf0c22ae4ba200ffff.png

图2:通过门控时钟创建的时钟域


一、跨时钟域


设计中包含多时钟域,首先要解决的是在不同时钟域之间传输信号的问题。信号跨时钟域传输将会是一个大问题,原因如下:


1、  信号跨时钟域传输产生的故障总是不太容易复现。设计中如果存在两个异步时钟域,故障往往与这两个时钟沿的相对时序有关。来自片外时钟源的时钟通常与器件实际功能并无任何关联。


2、   根据技术的不同,问题也不一样。(尽管由于其他因素的影响,这种情况并不总是成立)我们常常会发现,如果约束较小的建立和保持时间,从统计上来说高速设计技术比低速设计技术更不容易产生故障。同时,其它因素,比如同步器件中设计实现对输出的缓冲,也会对一个可能的故障产生显著影响。


3、  EDA工具一般不会探测和标注这类问题,静态时序分析工具是基于独立的时钟区域来进行时序分析,而且只有在特定的方式下根据指定的要求才能进行跨时钟域的时序分析。


4、  通常来说,如果没有很好地理解,跨时钟域故障难以探测且难以调试。所以所有跨时钟域接口都必须要在任何功能实现之前被很好地定义和处理。

让我们首先来看看在不同时钟域之间传输信号到底会产生什么错误。考虑图3所示的情况,一个信号在两个时钟域之间传播。


如图4所示,低速时钟的周期是高速时钟周期的两倍。低速时钟上升沿与高速时钟上升沿之间的间隔为常量,而且总是等于dC。由于这两个时钟的这种相位匹配关系,dC总是保持不变(假定频率没有漂移),而且在这个例子中,dC总是大于逻辑延时与高速时钟驱动的触发器建立时间之和。

fe5b9eb0900bf1c1c3c625e02c18b88b.png

图3:时钟域之间的简单信号传输

4aac4d1fffcecbe025a864a4bc2da1ab.png

图4:两个时钟域之间的时序关系


当这些时钟一启动,它们之间存在一个固定的相位关系,如此可以避免任何建立时间和保持时间违规。只要时钟没有漂移,就没有任何时序违规出现,并且器件会如预想那样工作。现在我们再考虑另外一种情况,同样的时钟上电后的相位关系如图5所示。

c0c0bb6c45c50dd6d74157a8a61c9d21.png

图5:会造成时序违规的时钟相位关系


图5中两个时钟之间的这种相位关系就会造成时序问题。这种情况会在任意频率的两个时钟域之间。然而,如果时钟的频率匹配不对,这种时序问题在这种情况下也不会发生。


总结来说,时钟同步问题在FPGA设计中通常是一种不可复现的问题,而且会对设计的可靠性带来严重后果。后面我们会讨论解决这类问题的方案,在此之前,我们必须要讨论当建立和保持时间违规时到底会发生什么。下一小节就是关于这个主题。

1.1 亚稳态


触发器的建立时间和保持时间在时钟上升沿左右定义了一个时间窗口,如果触发器的数据输入端口上数据在这个时间窗口内发生变化(或者数据更新),那么就会产生时序违规。存在这个时序违规是因为建立时间要求和保持时间要求被违反了,此时触发器内部的一个节点(一个内部节点或者要输出到外部节点)可能会在一个电压范围内浮动,无法稳定在逻辑0或者逻辑1状态。换句话说,如果数据在上述窗口中被采集,触发器中的晶体管不能可靠地设置为逻辑0或者逻辑1对应的电平上。所以此时的晶体管并未处于饱和区对应的高或者低电平,而是在稳定到一个确定电平之前,徘徊在一个中间电平状态(这个中间电平或许是一个正确值,也许不是)。如图6所示,这就是所谓的亚稳态。

4ed0a414c67725a7eada6c90da65d295.png

图6:时序违规导致亚稳态


如图6的波形所示,信号的跳变发生在建立和保持边界组成的时间窗口内,这意味着输出不会是逻辑0或逻辑1对应的确定电平,而是它们之间的一个中间电平。如果触发器包含有一个输出缓冲,那么亚稳态本身就可以称为随着内部信号的逐渐稳定而在输出上表现的杂散过渡。输出保持亚稳态的时间是随机的,甚至可能在整个时钟周期内都保持亚稳态。那么,如果这个亚稳态值输入到组合逻辑,根据逻辑门电路的切换门槛,错误的操作就可以发生。从时序收敛的角度来说,两个触发器之间的组合逻辑延时都要求要小于最小的时钟周期,但是这种亚稳态信号保持亚稳态的时间,本身就是变相地增加了逻辑延时。很显然,一个亚稳态信号会给设计带来致命的功能故障,而且该信号也将无法在各个时钟沿上采集到一致的结果。


事实上需要注意的是,在FPGA设计流程中想通过仿真来确定亚稳态对设计的危害是非常困难的。纯数字的仿真器并不能检查到建立和保持违规,从而在违规发生时,仿真出一个逻辑“X”(未知)值。而普通的RTL仿真,并不会出现建立和保持违规,所以也就不会有信号出现亚稳态状态。尽管门级仿真的时候会检查建立和保持是否违规,但是仿真由两个异步信号对齐而导致一个同步故障依然是一件十分困难的事情。尤其困难的是,设计或者验证工程师并不是在设计伊始即查找问题。那么,理解如何保持设计的可靠性以及如何避免需要通过仿真来揭露设计的同步问题,就显得十分重要了。解决亚稳态的方法有很多,后面我们将逐一进行讨论。


1.2 解决亚稳态方案1:相位控制


考虑这样一个设计,两个时钟域的周期不同,而且相位关系任意。如果至少有一个时钟由FPGA内部的PLL或者DLL控制,而且在PLL或者DLL的精度范围内,其中一个时钟的周期是另外一个时钟周期的数倍。那么如图7所示,通过相位对齐可以避免实现违规。


考虑这样一个例子,一个信号从低速时钟域传递进入另一个时钟域,而此时钟域的周期是低速时钟域的一半。根据前面的分析,如果没有任何相位关系的保证,那么时序违规就有可能发生。然后,通过使用DLL由低速时钟派生这个高速时钟,那么相位对齐就可以达成。


图7中,DLL调整高速时钟(采集)的相位来对齐低速时钟(发送)。数据在两个时钟域之间传递的时间是dC,该传递时间总是处于其最大可能值。本例中,只要从低速触发器到高速触发器的传播延时小于高速时钟周期,那么就不会有建立时间违规发生。如果因为时钟歪斜不够小而导致保持时间要求无法满足,那么可以通过配置实用高速时钟的下降沿来采集信号,当然前提是有足够的时序余量能确保建立时间要求得到满足。

20423564d7283ce5c5af5b79fbd2aef3.png

图7:使用DLL对齐相位


总结来说,相位控制技术可以在一个时钟频率是另外一个时钟的数倍且其中一个时钟可以由FPGA内部PLL或者DLL控制时使用。


在很多例子中,设计控制时钟域之间的相位关系是很奢侈的。尤其是时序要求由FPG**外的芯片施加,或者时钟域之间没有任何确定相位关系的时候。举例来说,如果FPGA在两个系统之间提供了一个接口,而这两个系统施加在芯片输入输出延时上的时序要求非常紧张,调整任何这两个系统的时钟相位是不可能的。类似这种例子在实践中会经常遇到,所以需要使用新的方法来解决,下一节将讨论这种新的方法。

1.3 解决亚稳态方案2:打两拍处理,即寄存两拍


跨越两个异步时钟域传输单比特信号时,可以使用打两拍技术。根据上一节的讨论,建立或保持时间违规会导致一个触发器内节点上电平徘徊在一个中间状态,从而产生亚稳态问题,而且信号从这种中间状态到一个稳定状态需要时间,此时间的长度未知。这个未知的时间会被加入到时钟到输出的时间(Tco)里(影响随后路径上的延时),且会在下一级导致一个时序违规。如果该信号输入到一个控制分支或者一个判决树,那将是非常危险的。不幸的是,没有很好的办法来预测这种亚稳态将会持续多长时间,也没有很好的办法将这些信息反标注到时序分析工具以及优化工具。假定两个时钟域之间完全异步(即无法实现相位控制),那么尽可能避免亚稳态的一个最简单办法就是使用双触发。在其它也许教科书中也称这种方法为同步位、两级触发器或两级同步器。


图8所示的配置中,同步器电路(其输入为Din)中的第一拍后也许会产生亚稳态,但是信号有机会在其被第二级锁存以及被其它逻辑看到之前稳定下来,如图9所示。

f66dee0f44b56560da548aab4dc75d52.png

图8:打两拍处理

62595a460a49ea49c6678ba7aa14f4a3.png

图9:打两拍重同步器


图9中,Dsync是同步器中第一个触发器的输出,而Dout是第二个触发器的输出。Dout本质上是等到同步后的信号一旦稳定下来后将其往下传,并且确保其它电路不会收到亚稳态信号。同步器两级触发器之间不要添加任何逻辑,这样可以使得信号获得尽可能长的时间来回到稳定状态。所以总结来说,打两拍同步器在单比特信号跨异步时钟传输时,用来将该单比特信号重新同步到异步时钟域。

理论上来说,第一个触发器的输出应该一直保持不确定的亚稳态,但是在现实中它会受到实际系统一系列因素影响后稳定下来。打个比方,想象一下一个皮球稳定地停住在一个山尖上,从任何方向上轻推一下球,它都会由相反的方向从山上滚落。同样,处于亚稳态的一个逻辑门,由发热、辐射等产生的随机波动都会促使该亚稳态回到逻辑0或者逻辑1对应的稳态。


使用打两拍技术采样一个异步信号时,无法完全预知我们想要的信号跳变,将在当前时钟发生还是下一个时钟发生。当信号属于一个数据总线中的一部分(有些数据位比其它比特晚一个时钟周期跳变)时,或者关键数据必须要精确到单个时钟周期内到达时,这种打两拍技术是没有帮助的。不过,对于控制信号来说,如果它们可以忍受正负一个或更多个时钟周期的变化,这种技术还是非常有用的。

举例来说,一个外部事件控制一个比特来触发FPGA内部动作,这个触发动作发生的频率可以非常的低,比如两个事件之间的间隔可以达到微秒甚至毫秒级。在这个例子中,一些额外的数纳秒的延时并不会影响该事件的行为。如果由外部事件驱动的改比特输入到一个状态机的控制结构中,通过同步器打两拍处理,那么想要的信号变化只是被延迟了一个时钟周期。然而,如果没有进行打两拍处理,那么判决逻辑也许会从该异步信号的亚稳态状态解码出不同状态跳转信息,并使得状态机同时跳转到不同的分支。


除了纯数字系统外,还有一种混合信号系统,这种系统会通常会产生异步反馈信号到FPGA,如图10所示。

4e2f0589c0d543ab7c0912d9eb842b14.png

图10:重新同步模拟反馈


上述对异步信号打两拍的同步器的Verilog代码如下所示:

module analog_interface(
...
output regfbr2,
input feedback);
reg fbr1;
always @ (posedge clk) begin
fbr1<=feedback;
fbr2<=fbr1;//;doubleflop
end
...

反馈信号会产生时序违规,而且fbr1在时钟沿后一个不确定的时间内处于亚稳态。那么,其它逻辑只可以使用的信号fbr2。


使用打两拍同步处理技术时指定时序约束是非常重要的,需要施加的约束是将位于第一个和第二个寄存器时钟域之间的信号路径指定为假路径,即让时序分析器部分此路径。因为打两拍同步器结构用于重新同步信号,在这两个时钟域之间并没有需要分析的同步路径。此外,如前所述这两个触发器之间的时序要尽可能的小,这样可以减小亚稳态被传播到第二级触发器的可能性。

1.4 解决亚稳态方案3:使用FIFO结构


跨时钟域传输数据用得最多的方法就是使用先入先出(即FIFO)结构。FIFO可以用于在两个异步时钟域之间传输多个比特信号。我们通常看到的FIFO应用包括在两个标准总线之间传输数据,以及从可突发访问的存储器中读出数据或者对其写入数据。例如,如图11所示,显示的是一个可突发访问存储器与一个PCI总线之间的接口。

be1f2358312f2717e85b3af4c4fa5898.png

图11:FIFO在PCI应用中


在很多不同的应用中,FIFO都是一种非常有用的数据结构,不过这里我们仅仅关注其处理跨时钟域突发数据的能力。


FIFO非常类似于在超市里的结账通道,每个客户到达结账台的时间多少有点随机性,结账速度在一定意义上说是匀速的。有时候结账客户可能会很少,而其他某些时候又会突发很多客户需要结账,收款员不可能立刻为每个客户服务,所以需要排队。抽象地来说,我们称这种排成一队的数据为一个序列。随后,收款员会以或多或少平均的速度为每一个顾客服务,并不会理会队列的长度。假如需要结账的顾客涌入收银台的速度超过了收款员的服务速度,那么这种收款结构就无法支撑了。那么此时,就需要采取措施,要么加快收款员的服务速率,要么减少新增顾客数。


同样的道理也存在于数据传输中,数据可能到达某个时钟域的间隔是完全随机的,有时候或许会面临一个很大突发数据块。这种情况下,处在另一个时钟域的接收设备只能以指定的速率来处理数据。如图12所示,一个FIFO被用于缓存数据,这样在设备中就形成了一个数据序列。

a755dd996d7fdde40cf63800aa719263.png

图12:异步FIFO


通过使用异步FIFO,数据发送端可以以随意的间隔发送数据,而接收端也可以以其固有的带宽从数据序列里取出数据并进行处理。由于任何由FIFO实现的数据序列的长度都不能无限制,所以需要一些控制来防止FIFO溢出。这时候,有两种选项可以采用:

l  事先定义好的发送速率(可突发或不可突发),最小接收速率以及对应最大的序列尺寸。

l  握手控制。


注意,发送设备的时钟频率没有必要高于接收端设备,否则容易造成溢出。以较慢的频率将数据送入FIFO,那么数据写入FIFO的时钟周期数要少于接收端将要处理数据的时钟周期数。那么,如果不采取握手控制,就必须要理解以上描述会产生溢出的最坏的情况。


在任何一段时间内,假设数据发送写FIFO的速率大于接收处理数据的速率,那么很轻易地使系统无法维持。因为没有任何存储设备可以存得下无限的数据,这种问题需要在系统结构层级才能解决。通常来说,突发发送一般是以小周期性或非周期性发生。所以FIFO的最大尺寸要大于等于(具体还要根据数据接收器的属性)突发的尺寸。


在很多例子中,不管是突发尺寸还是数据到达的分配都无法很好地定义。这种时候,就有必要使用握手控制来防止FIFO产生数据溢出。如图13所示,这种握手控制通常由一些标志信号来实现。这些标志信号,一个是发送侧的满标志,用于提示FIFO没有多余空间存储数据了,另一个是而空标志,用于提示接收侧,FIFO中没有数据需要处理了。管理这些握手信号可能还需要一个状态机,正如图13所示。

8928c1485232ba0d5a6c913b6fb274f8.png

图13:FIFO的握手控制


FIFO在FPGA内一般是通过封装一个双口RAM来实现。表面上看微不足道的标志信号如空和满指示等,实际上是实现起来反而比较困难。原因就在于输入控制常常需要依据输出来产生,同样的输出控制也常常需要依据输入来产生。例如,驱动输入的逻辑必须要知道FIFO是否已满,而这只能通过获取从输出端读出的数据数量才能得知。同样的道理,在输出侧从FIFO读数据的逻辑必须要了解FIFO中是否还有数据(即FIFO是否已空),而这只能通过输入端口的写指针才能判决。

这里我们探讨使用FIFO在两个异步时钟域之间传输数据,不过同样会面临实现FIFO本身时遇到的握手标志问题。为了在两个时钟域之间传递必要的信号,我们必须重回上一节讨论到的打两拍技术。下面我们以图14所示的简单异步FIFO框图为例进行阐述。

29ab0c0f4e280478c95e803d5d264ffa.png

图14:异步FIFO简单框图


图14中,在产生空和满信号时,写地址和读地址都必须是异步传递到对方时钟域中。这样在重新同步多比特地址总线时,问题就来了,即根据各个比特不同的走线,总线中某些比特可能会比其它比特晚一个时钟周期。换句话说,由于两个时钟域异步的自然属性,使得地址总线有些比特在一个时钟沿上被采集,而另一些比特却在下一个时钟沿上被采集,当然这取决于数据是否在第一个触发器的时钟沿到达之前提前足够长时间有效。如果上述情况发生,那么会给系统带来严重后果,因为二进制地址中有些位变化有些位却没有,因此接收逻辑将会得到一个完全无效的地址,这个地址既不是当前地址也不是上一个地址。


这个问题可以通过将二进制地址转换为格雷码来解决。格雷码是一种非常特殊的计数器,两个相邻地址中只有一个比特是不同的。所以当地址改变时,只需要改变地址中的一个比特即可,这样就可以避免上面提到的问题。如果发生变化的那个比特并没有被下一个时钟正确采集,地址线上会“同步地”保留旧的地址值。那么,任何不正确的地址(即既不是当前地址也不是旧地址)操作都被消除了。所以总结来说,格雷码常用来在异步时钟域之间传递多比特计数值,且多用于FIFO内。


需要额外注意的一点是,由于只有读写地址是需要在异步时钟域之间传递,所以地址就有可能比预想的晚一个时钟周期,同时意味着空或者满标志置位晚一个时钟周期,但是这并不表示错误导致了数据溢出状况。如果这种情况在传递地址到读时钟域时,读逻辑将简单地认为数据没有写入,且将认为FIFO已空尽管此时FIFO已经被写入一个数据。这只会对总的吞吐率有一些小影响,但是不会导致下溢(即读已空的FIFO)状况发生。同样地,当地址被传递到写时钟域时,如果读地址被延时了,那么写逻辑会认为FIFO里没有多余空间,尽管此时FIFO还未满。这同样只会对总的数据吞吐率有些微小影响,却不会造成上溢(写已满的FIFO)发生。


FIFO是一种足够通用的模块,大部分FPGA供应商都提供了工具,可以让客户根据自己的要求来自动产生软核。这些用户FIFO可以像其它IP模块那样由用户手动地在设计中例化。那么,在一个FPGA设计中使用自己的FIFO时,上述讨论的问题很可能将不必由设计自己来解决。当然,同样的问题也经常在异步时钟域之间传递数据的时候发生,所以理解这类设计实践对于一个高级FPGA设计者来说非常重要。

1.5 设计分区同步器模块


在顶层为设计划分好设计分区是一个好的设计实践行为,这样任何功能模块外面都包含一个独立的同步器模块。这样有利于在划分模块的基础上实现所谓的理想时钟域情况(即整个设计模块只有一个时钟),如图15所示。

4b6508b9da3d0dae5a45408686b351f0.png

图15:设计分区同步器模块


对设计进行分区有很多理由。首先,对每个独立的功能模块进行时序分析变得简易,因为模块都是完全的同步设计。其次,整个同步模块中的时序例外也很容易得到定义。再次,底层模块的同步器加时序例外在代入到设计顶层时,大大降低了由于人为失误造成的疏漏。所以,同步寄存器应该在功能模块外单独分区。还有很多类似的设计实践在使用FPGA作为ASIC的设计原型时得到应用,下一节我们将再进行详细地讨论。

二、ASIC原型设计中的门控时钟


ASIC设计一般对功耗非常敏感,同时ASIC的时钟树设计又非常灵活,所以会在整个设计中经常使用门控时钟在逻辑不需要活动的时候来去使能这些逻辑。虽然使用FPGA作为ASIC的原型可以模拟整个逻辑功能,但是二者之间的有些物理属性,如功耗方面,还是不太一样。那么,要求FPGA来模拟ASIC的整个低功耗优化是没有必要的。实际上,正是由于FPGA的粗放式的时钟资源,让其模拟这方面功能也是不太可能的。这一节我们将讨论一些解决这个问题方法,并且再讨论一些可以应用于ASIC设计的技术来使FPGA原型设计更加容易。

2.1 时钟模块


如果一个ASIC设计中使用了大量的门控时钟,建议将所有这些门控操作统一放在一个专门的时钟生成模块中,并与功能模块隔离,如图16所示。

b03fd1521ea6211599f1de7a1cedef44.png

图16:统一的时钟模块


通过将时钟门控置于一个单一的模块,不但可以是约束处理更简单,而且当要对FPGA原型进行任何修改时也更容易。例如,如果设计者选择某次编译时删除所有门控单元,那么一个单一的模块里很容易实现。下一节我们将对此进行详细讨论。

2.2 时钟门控移除


有很多办法可以从FPGA原型里删除时钟门控,下面的例子就显示了一个很明显,但却也是很麻烦的一个方法。这个例子的代码如下所示,该代码是删除FPGA原型里所有的门控功能。

‘define FPGA

//‘define ASIC

module clocks_block(...)

‘ifdef ASIC

assign clock_domain_1=system_clock_1&clock_enable_1;

‘else

assign clock_domain_1=system_clock_1;

‘endif


如果上述代码需要开放时钟门控,那么在FPGA原型设计中只需要修改宏定义即可。不足之处是,任何时候要将FPGA原型转化为ASIC设计时总是需要做出一些修改(其实就是修改宏定义)。很多设计者对此会感觉不是太舒服,因为他们认为二者使用的不是一样的RTL。一个更好的办法是使用一个自动门控删除工具来消除任何认为造成失误的可能。许多现代的综合工具通过正确的约束,现在都提供这项功能。例如,Synplify就有一个称为“Fix gated clocks”选项,就是用于自动地从时钟线上将门控操作删除,并将其移动到数据路径上。我们来看下面这个代码示例:

module clockstest(
output reg oDat,
input iClk,iEnable,
input iDat);
wire gated_clock=iClk&iEnable;
always @ (posedge gated_clock)
oDat<=iDat;
endmodule


在上面的代码中,系统时钟被一个使能信号门控产生一个门控时钟。这个门控时钟被用于驱动触发器oDat,而oDat用于寄存器输入iDat。如果没有启用“fixing the clock gating”选项,那么综合工具将会直接实现逻辑功能,如图17所示。

56728e9a1fccecceb75639a9e91f8061.png

图17:直接时钟门控


图17的逻辑实现中,在时钟线上放置了门控操作。那么设计中现在有了两个时钟域,必须分别对它们进行约束,而且必须分别将它们布局到时钟资源。但是,如果启动了时钟门控删除,这个逻辑门就会比较容易地被移动到数据路径上,如图18所示。

8934b9a765f69351f198dea627396e1f.png

图18:时钟门控删除


现在大部分逻辑器件里逻辑单元都提供了一个时钟使能输入,有了该使能输入就可以不使用本方案。然而,如果一个特定的技术并未提供触发器时钟使能,那么只能使用本技术来删除时钟门控,只是这样就将会在数据路径上增加延时。


三、要点总结

l  时钟同步问题通常是不可复现的问题,并且会给FPGA设计带来可靠性问题。

l  亚稳态会给FPGA带来灾难性故障。

l  相位控制技术在一个时钟频率是另外一个的数倍且其中一个时钟可以由内部PLL或者DLL控制的时候使用。

l  打两拍技术可用于在异步时钟域之间同步单比特信号。

l  在打两拍同步器中,时序分析应该忽略第一个触发器,同时要确保两个同步触发器之间的延时最小。

l  FIFO用于在两个异步时钟域之间传递多比特信号。

l  格雷码用于在两个异步时钟域之间传递计数值数据,而且多用在FIFO内部。

l  同步寄存器应该在功能模块外面独立分区。

l  如果可能,请尽量不要使用时钟门控。若必须使用,请将所有的门控时钟放置在一个专门的时钟模块中,并与其它功能模块隔离。

017100a0791d6acd675e0f71a228e698.png

1.芯片都去哪了?美国SIA年度报告揭秘~

2.树莓派偷偷换上新SoC!

3.MCU从入门到躺平

4.车规级MCU国产替代下的选择题:车厂策略激进,直供能成为未来主流?

5.编程语言成功的几大要素

6.碳中和,不确定世界里的确定性

8d5f918f4da54f41177f03b8e29f06f3.gif

免责声明:本文系网络转载,版权归原作者所有。如涉及作品版权问题,请与我们联系,我们将根据您提供的版权证明材料确认版权并支付稿酬或者删除内容。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

高级FPGA设计技巧!多时钟域和异步信号处理解决方案 的相关文章

随机推荐

  • vue.js 开发环境搭建最简单攻略

    更新 本篇文章是我很之前写的 对于一些没有工作 或者js基础不太好的同学 建议不必大费周章去搭建环境 可以直接引用 vue js 就可以进行学习 练习 有些过程是水到渠成的 当初很多不懂的东西慢慢就懂了 官方指南假设你已有HTML CSS和
  • 小学数学题的Java实现

    昨天 去朋友家一起做饭 刚好有小孩问我问题 说你不是学计算机的吗 那你教我做一道数学题 我刚开始看的时候愣了一下 不过 想了一会还是解决 题目是这样的 有一袋糖果 每次从袋子里面拿走一半又放进袋子一颗 经过5次操作后 袋子还剩余4颗糖果 请
  • CH07_封装

    封装记录 Encapsulate Record 162 曾用名 以数据类代替记录 Replace Record with Data Class organization name Acme Gooseberries country GB c
  • PHP 视频或信息 保持唯一推荐

    redis RedisCli origicount redis gt scard original 查看数据是否为空 if origicount recommend M video gt field videoId gt where is
  • 100位特邀用户亲测GPT-4

    在首期Beezy4 0体验官优秀案例集中 部分用户展示了GPT 3 5和GPT 4 0在小红书文案 创意脚本生成上的应用对比 今天我们将选取其中的典型案例进行分析 看一下GPT 3 5和GPT 4 0在文案写作领域的表现有何不同 优秀案例
  • 全球哪些顶级的公司在使用Python?Python的性能如何?

    目前有超过500种编程语言 每天仍在新增更多新语言 虽然其中有大部分重叠的语言以及大量仅用于理论和试验的编程语言 但一个人的时间和精力有限 你只能挑选几种甚至一种深入学习 没有基础的小白该从哪下手呢 不如就选python吧 我们主张从pyt
  • ckeditor4 上传图片压缩 图片压缩后上传 上传文件前处理 上传图片之前压缩图片

    网上搜不到合适的例子 所以写了一个 感觉应该有一些参考价值 核心就是ckeditor中的一个属性 fileUploadRequest 下面看例子 const questionBodyEditor CKEDITOR replace quest
  • 【R语言】实验一 R 语言数据结构、数据导入与数据处理

    系列文章目录 实验一 R 语言数据结构 数据导入与数据处理 实验二 基本数据处理 实验三 数据可视化 实验四 数据分析 实验五 综合应用 实验数据 实验数据下载 1 algae 数据集 season size speed mxPH mnO2
  • selenium 自动化测试工具(七)python日志logging基本用法、高级用法

    设置logging import logging import time import os class LoggingMethod def getlogger self logger logging getLogger logger se
  • 使用Git上传代码到GitHub

    首先需要一个github账号 所有还没有的话先去注册吧 https github com 使用git需要先安装git工具 这里给出下载地址 下载后一路直接安装即可 https git for windows github io 1 进入Gi
  • LeetCode 1 给定一个整数数组 nums 和一个目标值 target,请你在该数组中找出和为目标值的那 两个 整数,并返回他们的数组下标。

    LeetCode 1 给定一个整数数组 nums 和一个目标值 target 请你在该数组中找出和为目标值的那 两个 整数 并返回他们的数组下标 你可以假设每种输入只会对应一个答案 但是 你不能重复利用这个数组中同样的元素 示例 给定 nu
  • 第十四届蓝桥杯第三期模拟赛(python版)

    总体难度不大 正赛肯定比这难 本人答案代码样例都能过 前五个填空题正确性应该都问题不大 有更好方法欢迎放出来 大题但可能有一些细节没有考虑到 时间复杂度这一方面是都考虑了的 大题仅供参考 有更好的方法的 欢迎讨论 目录 问题1 问题2 问题
  • 项目总结:如何开发出好的项目

    每件事都需要考虑它的价值 然后决定投入 1 项目如果要做的好 必须要有丰富的文档支持 文档的意义在于只有形成文档 才能更好的去沟通和判断 对有可能出现问题的地方提前预警 另外 任何一个系统不只有开发成本 运维成本有时会超出我们的想象 即便一
  • 卷积神经网络在自然语言处理中的应用

    卷积神经网络最典型的应用领域是计算机视觉 卷积神经网络在图像分类中取得了重大突破 典型应用有facebook的图像自动标注 还有自动驾驶等 卷积神经网络也可以用于自然语言处理 由于卷积神经网络在计算机视觉中的应用最广 首先阐述这个 然后再介
  • 点、线、面生成mvt(MapBox Vector Tile)格式的瓦片总结

    矢量切片可以以三种形式呈现 GeoJSON TopoJSON 和 MapBox Vector Tile mvt 矢量切片技术继承了矢量数据和切片地图的双重优势 有以下优点 瓦片以mvt格式的存储 是以每一个瓦片号为基准进行存储的 大小都是2
  • Basic Level 1013 数素数 (20分)

    题目 令 P i表示第 i 个素数 现任给两个正整数 M N 10 4 请输出 P M到 P N的所有素数 输入格式 输入在一行中给出 M 和 N 其间以空格分隔 输出格式 输出从 P M到 PN的所有素数 每 10 个数字占 1 行 其间
  • Java将数据导出为xml格式

    需求 前后端分离项目 Springboot项目 需要将学生 信息导出为xml文件 StudentController java GetMapping getXml public void studentXml HttpServletRequ
  • linux spi测试程序,spidev测试方法 /how to test spidev

    在设备树中配置spidev 首先需要在设备树配置好spi设备 可参考如下配置 ecspi3 pinctrl names default pinctrl 0 cs gpios dmas dma names rx tx status okay
  • Excel分段线性插值函数实现

    问题 已知 X和Y有下表的对应关系 当一个新的4
  • 高级FPGA设计技巧!多时钟域和异步信号处理解决方案

    有一个有趣的现象 众多数字设计特别是与FPGA设计相关的教科书都特别强调整个设计最好采用唯一的时钟域 换句话说 只有一个独立的网络可以驱动一个设计中所有触发器的时钟端口 虽然这样可以简化时序分析以及减少很多与多时钟域有关的问题 但是由于FP