Hi3516全系统类型烧录教程

2023-11-20

烧录资料下载:https://gitee.com/hihope_iot/docs/tree/master/HiSpark-AI-Camera-Developer-Kit/Software/tools

第一步:安装好hitool usb 烧写的驱动

安装方式:解压后,双击InstallDriver.exe,默认下一步,点击完成即可
image.png

第二步:双击注册表文件,见末尾附件,点击是即可

image.png
image.png

未安装会出现如图所示错误:

image.png

烧写步骤:

1、将3516开发板串口线插到电脑USB口上
2、因为是USB烧写方式,所以选择USB口,如图

image.png

image.png

注意:串口是哪个要选对了,还有终端串口配置的串口要和PC与板端配置下面的串口要一致
Ps:USB烧写不需要连网线,也不需要插上电源线(带开关按钮的),只需要将一端有typeC口插上3516开发板背面,还有一端是USB口插在主机USB口上,这条线就相当于电源线。

关键操作
(1)先断电:意思就是将插在主机上的USB线拔掉
(2)点击烧写
(3)按住3516开发板上的update键不放,update键如图(黑色小点)
image.png

(4)上电:意思是将刚刚拔掉的USB线再插到主机上,注意是同一个USB口,别插错了
(5)松开reset键,点击控制台出现如图场景,就代表已经在烧写了
image.png
image.png

启动参数

目前 润和Hi3516开发板 支持3种系统,分别是:
(1)小型系统(liteos-a内核)
(2)小型系统(Linux内核)
(3)标准系统
image.png

小型系统(liteos-a内核)

小型系统liteos-a内核编译,hb set选择 ipcamera_hispark_taurus
image.png
烧录指导图:
,设置好服务器IP、开发板IP、启动参数。

setenv serverip 192.168.3.60
setenv ipaddr 192.168.3.100

setenv bootcmd "mmc read 0x0 0x80000000 0x800 0x4800; go 0x80000000";

setenv bootargs "console=ttyAMA0,115200n8 root=emmc fstype=vfat rootaddr=10M rootsize=30M rw";

saveenv

配置如下:选择网口烧录、注意修改服务器IP、IP地址、网关,选择emmc烧录,
0df9bc9006176536761d57f4dc35b7c.png

小型系统(linux内核)

hb set选择 ipcamera_hispark_taurus_linux
image.png
烧录指导图:
,设置好服务器IP、开发板IP、启动参数。

setenv serverip 192.168.3.60
setenv ipaddr 192.168.3.100

setenv bootargs "mem=128M console=ttyAMA0,115200 root=/dev/mmcblk0p3 rw rootfstype=ext4 rootwait blkdevparts=mmcblk0:1M(boot),9M(kernel),50M(rootfs),50M(userfs),1024M(userdata)"
setenv bootcmd "mmc read 0x0 0x82000000 0x800 0x4800;mw 0x10FF0044 0X600;mw 0x120D2010 0x00000000;mw 0x120D2400 0x000000ff;mw 0x120D2010 0x00000000;bootm 0x82000000"

saveenv

配置如下:选择网口烧录、注意修改服务器IP、IP地址、网关,选择emmc烧录
image.png

标准系统

编译命令:./build.sh --product-name Hi3516DV300 --ccache
,设置好服务器IP、开发板IP、启动参数。

setenv serverip 192.168.3.60
setenv ipaddr 192.168.3.100

setenv bootargs 'mem=640M console=ttyAMA0,115200 mmz=anonymous,0,0xA8000000,384M clk_ignore_unused androidboot.selinux=permissive skip_initramfs rootdelay=10 init=/init root=/dev/mmcblk0p5 rootfstype=ext4 rw blkdevparts=mmcblk0:1M(boot),15M(kernel),20M(updater),1M(misc),3307M(system),256M(vendor),-(userdata)'

setenv bootcmd "mmc read 0x0 0x80000000 0x800 0x4800; bootm 0x80000000"

saveenv

reset

配置如下:选择网口烧录、注意修改服务器IP、IP地址、网关,选择emmc烧录
5314998929_51873187537_mmexport1644464728149.jpg

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Hi3516全系统类型烧录教程 的相关文章

  • Unity3D如何修改Button显示的文字以及深入了解Button组件

    在创建了一个Button后 结构如图 先仔细观察一下Button的Inspector视图 发现其中竟然有一个叫Button的脚本组件 新建脚本 代码如下 并将该脚本绑定给Canvas组件 using UnityEngine UI using
  • winform记录

    SpeechSynthesizer 下边代码多次调用 会导致内存溢出outofmemory SpeechSynthesizer 需要改为全局静态 private void button Click object sender EventAr
  • 【MySQL笔记】MySQL8新特性 — 计算列

    什么叫计算列呢 简单来说就是某一列的值是通过别的列计算得来的 例如 a 列值为 1 b 列值为 2 c 列不需要手动插入 定义 a b 的结果为 c 的值 那么 c 就是计算列 是通过别的列计算得来的 在 MySQL 8 中 CREATE

随机推荐

  • Pytorch Torch.utils.data.Sampler

    对于 可迭代样式的数据集 数据加载顺序完全由用户定义的可迭代样式控制 这样可以更轻松地实现块读取和动态批处理大小 例如 通过每次生成一个批处理的样本 为了从数据集中读取数据 pytorch提供了Sampler基类与多个子类实现不同方式的数据
  • 天刀服务器维护4月19,5月19日服务器例行维护公告

    该楼层疑似违规已被系统折叠 隐藏此楼查看此楼 亲爱的玩家 青龙乱舞区 大地飞鹰区 沧海云帆区 把酒邀月区全部服务器将在5月19日6 00 10 00停机维护更新 维护完成后上述各服务器客户端版本更新至2 1 47 18 由于机房网络波动 云
  • 【数字IC】从零开始的Verilog SPI设计

    从零开始的Verilog SPI协议设计 一 写在前面 1 1 协议标准 1 2 数字IC组件代码 1 3 设计要求 1 4 其他协议解读 1 4 1 UART协议 1 4 2 SPI协议 1 4 3 I2C协议 1 4 4 AXI协议 二
  • BLIP论文笔记

    BLIP Bootstrapping Language Image Pre training for Unified Vision Language Understanding and Generation Abstract 1 Intro
  • 在封装或使用el-dialog的时候无法获取到 $refs的坑

    经常使用 vue 的对element ui都不陌生 他的el dialog弹框组件为了性能的提升 第一次打开之前是不会渲染body内容的 这样子将会导致一个问题 我们无法获取到 dom 解决方法 方法一 因为源码是通过rendered 参数
  • 2021全国电设(F题)openmv的图像识别之数字识别

    基于openmv的图像识别 通过参加全国电子设计大赛F题总结出openmv4的数字识别 其它版本暂时没试过 欢迎交流 openmv简介 OpenMV是一个开源 低成本 功能强大的机器视觉模块 以STM32F427CPU为核心 集成了OV77
  • py214-基于Python+django的网购平台购物商城

    开发语言 Python python框架 django 软件版本 python3 7 python3 8 数据库 mysql 5 7或更高版本 数据库工具 Navicat11 开发软件 PyCharm vs code 前端框架 vue js
  • C++ 代码实现定义法计算行列式的值

    一 前言 最近在捣鼓C 学到线代的行列式之后就想着来捣鼓一下求行列式的值 主要原因是当时群里有人在捣鼓着用上下三角来求值 所以我最后就去玩定义法求值了 二 n阶行列式的定义 从定义中我们可以看出值计算公式由三个部分组成 分别是逆序数r 行列
  • C++基础知识 - 赋值构造函数

    赋值构造函数 如果没有定义赋值构造函数 编译器会自动定义 合成的赋值构造函数 与其他合成的构造函数 是 浅拷贝 又称为 位拷贝 定义 Human operator const Human other 实现 Human Human opera
  • MySQL数据库提示:Communications link failure,The last packet succe

    Last modified 2013 10 08 14 16 47 web网站使用MySQL数据库 今天突然报以下错误 Communications link failure The last packet successfully rec
  • html+css小名片代码

    作者 小刚 一位苦于信息安全的萌新小白帽 记得关注给个赞 谢谢 本实验仅用于信息防御教学 切勿用于其它用途 HTML css小名片效果 效果图 小名片代码 学习html css时做的小名片案例 效果图 鼠标移动到名片前 鼠标移动到名片后 小
  • STM32 电机教程 0 - MotorControl Workbench下载与安装

    前言 STM32微控制器提供了行业标准Arm Cortex M核心运行矢量控制或FOC模式的性能 广泛应用于空调 家用电器 无人机 建筑和工业自动化 医疗和电动自行车应用的高性能驱动器 STM32 MCSDK 电机控制软件开发工具包 固件
  • 扩散模型:Diffusion models as plug-and-play priors作为即插即用先验的扩散模型

    扩散模型 Diffusion models as plug and play priors作为即插即用先验的扩散模型 0 摘要 1 概述 2 方法 2 1 问题设置 2 2 将去噪扩散概率模型作为先验 3 实验 图像生成 3 1 MNIST
  • 搜狐畅游2018年9月15日校招真题(1)

    通过该道题目 题目描述如下 示例代码 include
  • mysql jdbc allow_mysql的jdbc.url携带allowMultiQueries=true参数的作用及其原理

    如下配置 jdbc url jdbc mysql 127 0 0 1 3306 chubb 2 autoReconnect true useUnicode true characterEncoding UTF 8 allowMultiQue
  • VMware 7.0

    在 vSphere 7 0 中 可以利用 vSphere Client HTML5 中提供的功能 基于 Flash 的 vSphere Web Client 已弃用且不再提供 VMware Host Client 是一个基于 Web 的应用
  • 纪录一下力扣28题越界的问题

    TOC 记录一下力扣28题用严蔚敏教材中的kmp求解出现的问题 ERROR42 不用怀疑数组越界了 贴上源码我们来分析一下 class Solution public void getNext vector
  • Canvas和SVG区别

    Canvas主要用笔刷来绘制2D图形 SVG主要用标签来绘制不规则矢量图 相同点 都是主要用来绘制2D图形 不同点 Canvas主要绘制位图 SVG主要绘制矢量图 不同点 SVG节点过多时渲染慢 Canvas性能更好一些 但写起来更复杂 不
  • 报错:Can‘t locate Win32/Console.pm in @INC (you may need to install the Win32::Console module)

    安装Open SSL中执行命令 perl Configure VC WIN32 no asm prefix C Dpan javaProject OpenSSL openssl 1 1 1b 出现以下错误 Can t locate Win3
  • Hi3516全系统类型烧录教程

    烧录资料下载 https gitee com hihope iot docs tree master HiSpark AI Camera Developer Kit Software tools 第一步 安装好hitool usb 烧写的驱