Verilog 错误:必须连接到结构网络表达式

2024-01-12

我收到错误:output or inout port "Qout" must be connected to a structural net expression。我评论了下面代码中发生错误的行(代码被修剪/压缩)。我搜索了答案,似乎我无法将输入/输出端口分配给注册表。我认为一个解决方案是将 Q 更改为电线,但 Q 是我的 everyBitRegister 模块中的始终块的一部分,因此它必须是一个 reg。我怎样才能解决这个错误?

`timescale 1ns / 1ns

module lab4_3(SW, KEY, LEDR);
    input [9:0] SW;
    input [3:0] KEY; 
    output [7:0] LEDR;

    eightBitRegister eight1(
                .DATA_IN(SW[7:0]),
                .parallelloadn(KEY[1]),
                .rotateRight(KEY[2]),
                .clock(KEY[0]),
                .reset(SW[9]),
                .Q(LEDR[7:0])       
    );      
endmodule

module eightBitRegister(DATA_IN, parallelloadn, rotateRight, reset, clock, Q);
    input [7:0] DATA_IN;
    input parallelloadn;
    input rotateRight;
    input reset;
    input clock;
    output[7:0] Q;


    register reg0(.Qout(Q[0]),  //GETTING ERROR HERE
                .right(Q[1]),
                .left(Q[7]),
                .D(DATA_IN[0]),
                .loadleft(rotateRight),
                .loadn(parallelloadn),
                .clk(clock),
                .rst(reset));

   reg [7:0] Q;
    always @(*)
    begin
     case({parallelloadn,rotateRight})
        2'b00: Q = DATA_IN; 
        2'b01: Q = DATA_IN;  
        2'b11: Q = Q >> 1;
        2'b10: Q = Q << 1;
     endcase
    end

endmodule

module register(Qout, right, left, D, loadleft, loadn, clk, rst);
    input right, left;
    input D;
    wire datato_dff, rotatedata;
    input loadleft, loadn;
    input clk, rst;
    output Qout;

flipflop F0( 
    .d(datato_dff), 
    .q(Qout), 
    .clock(clk),
    .reset(rst) 
);

module flipflop(d, q, reset, clock); 
    input reset, clock;
    input d;
    output q;
    reg q;
    always @(posedge clock)
    begin
        if (reset == 1'b0) 
            q <= 0; 
        else 
            q <= d; 
    end

endmodule

首先,endmodule之后失踪flipflop F0实例化。 Verilog 不支持嵌套模块(这可能是拼写错误)。

第二,Qout由多个驱动程序驱动。你已经开车了Qout from .Qout(Q[0]),即来自寄存器模块,以及来自always堵塞。这是非法的。

模块的输出必须连接到wire。虽然Qout是一个输出端口,它用作逻辑驱动的输入Q。所以,你需要从register模块并用它来驱动Q of eightBitRegister module.

下图显示了输入、输出和输入输出端口的端口连接规则。

我稍微修改了你的代码。此处,使用临时电线作为解决方法。该代码可在电子设计自动化游乐场 http://www.edaplayground.com/x/93h.

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Verilog 错误:必须连接到结构网络表达式 的相关文章

随机推荐