计算机组成原理——总线

2023-05-16

一、总线概述

1.基本概念

在这里插入图片描述
(1)总线简图
在这里插入图片描述
每个总线可能由很多根信号线组成

(2)总线的物理实现
在这里插入图片描述
①如上图,4根信号线组成“一根”总线,所有硬件部件都可以通过这根总线传递数据
②可并行发送4bit数据。同一时刻只能有一个部件发送数据,但是可有多个部件接受数据

(3)总线的定义
①总线是一组能为多个部件分时共享的公共信息传送线路
1)共享:指总线上可以挂接多个部件,各个部件之间互相交换的信息都可以通过这组线路分时共享。
2)分时:指同一时刻只允许有一个部件向总线发送信息,如果系统中有多个部件,则它们只能分时地向总线发送信息。
在这里插入图片描述

③使用总线原因:早期计算机外部设备少时大多采用分散连接方式,不易实现随时增减外部设备。为了更好地解决l/O设备和主机之间连接的灵活性问题,计算机的结构从分散连接发展为总线连接。

(4)总线特性
①机械特性:尺寸、形状。管脚数、排列顺序
②电气特性:传输方向和有效的电平范围
③功能特性:每根传输线的功能(地址、数据、控制)
④时间特性:信号的时序关系

(5)总线的分类
在这里插入图片描述
①按数据传输格式
在这里插入图片描述
1)串行总线
a.优点:只需要一条传输线,成本低廉,广泛应用于长距离传输;应用于计算机内部时,可以节省布线空间。
b.缺点:在数据发送和接收的时候要进行拆卸和装配,要考虑串行-并行转换的问题。

2)并行总线
a.优点:总线的逻辑时序比较简单,电路实现起来比较容易。
b.缺点:信号线数量多,占用更多的布线空间;远距离传输成本高昂;由于工作频率较高时,并行的信号线之间会产生严重干扰,对每条线等长的要求也越高,所以无法持续提升工作频率。

②按总线功能(连接的部件)
1)片内总线
a.片内总线是芯片内部的总线。
b.它是CPU芯片内部寄存器与寄存器之间、寄存器与ALU之间的公共连接线。

2)系统总线
a.系统总线是计算机系统内各功能部件(CPU、主存、I/o接口)之间相互连接的总线。
b.按系统总线传输信息内容的不同,又可分为3类:数据总线、地址总线和控制总线。
a)数据总线(DB)
i.传输各功能部件之间的数据信息,包括指令和操作数;位数(根数)与机器学长、存储字长有关
ii.信息传输方向:双向。
b)地址总线(AB)
i.传输地址信息,包括主存单元或I/o端口的地址;位数(根数)与主存地址空间大小及设备数量有关
ii.信息传输方向:单向。
c)控制总线(CB)
i.控制总线传输的是控制信息,包括CPU送出的控制命令和主存(或外设)返回CPU的反馈信号。

3)通信总线
a.通信总线是用于饪算机系统之间或计算机系统与其他系统(如远程通信设备、测试设备)之间信息传送的总线,通信总线也称为外部总线。

③按时序控制方式
1)同步总线
2)异步总线

(6)系统总线结构
①单总线结构
在这里插入图片描述
1)注:单总线并不是指只有一根信号线,系统总线按传送信息的不同可以细分为地址总线、数据总线和控制总线。
2)结构:CPU、主存、IO设备(通过I/O接口)都连接在一组总线上,允许I/O设备之间、IO设备和CPU之间或I/O设备与主存之间直接交换信息。
3)优点:结构简单,成本低,易于接入新的设备。
4)缺点:带宽低、负载重,多个部件只能争用唯一的总线,且不支持并行传送操作。

②双总线结构
在这里插入图片描述
1)结构:双总线结构有两条总线,一条是主存总线,用于CPU、主存和通道之间进行数据传送;另一条是I/O总线,用于多个外部设备与通道之间进行数据传送。
2)通道是具有特殊功能的处理器,能对I/O设备进行统一管理,通道程序放在主存中。
3)支持突发(猝发)传送:送出一个地址,收到多个地址连续的数据。
4)优点:将较低速的I/O设备从单总线上分离出来,实现存储器总线和I/O总线分离。
5)缺点:需要增加通道等硬件设备。

③三总线结构
在这里插入图片描述
1)结构:三总线结构是在计算机系统各部件之间采用3条各自独立的总线来构成信息通路,这3条总线分别为主存总线、l/O总线和直接内存访问DMA总线。
2)DMA:Direct Memory Access,直接内存访问。
3)优点:提高了I/O设备的性能,使其更快地响应命令,提高系统吞吐量。
4)缺点:系统工作效率较低。

④四总线结构简介
在这里插入图片描述
1)桥接器:用于连接不同的总线,具有数据缓冲、转换和控制功能。
2)靠近CPU的总线速度较快。

2.总线的性能指标

(1)总线的传输周期(总线周期)
一次总线操作所需的时间(包括申请阶段、寻址阶段、传输阶段和结束阶段),通常由若干个总线时钟周期构成。
(2)总线时钟周期
① 即机器的时钟周期。计算机有一个统一的时钟,以控制整个计算机的各个部件,总线也要受此时钟的控制。
② 注:总线周期与总线时钟周期的关系比较魔幻,大多数情况下,一个总线周期包含多个总线时钟周期有的时候,一个总线周期就是一个总线时钟周期有的时候,一个总线时钟周期可包含多个总线周期
③现在的计算机中,总线时钟周期也有可能由桥接器提供

(3)总线的工作频率
①总线上各种操作的频率,为总线周期的倒数。
②若总线周期=N个时钟周期,则总线的工作频率=时钟频率/N。实际上指一秒内传送几次数据。

(4)总线的时钟频率
①即机器的时钟频率,为时钟周期的倒数。
②若时钟周期为T,则时钟频率为1/T。实际上指一秒内有多少个时钟周期。
(5)总线宽度
又称为总线位宽,它是总线上同时能够传输的数据位数,通常是指数据总线的根数,如32根称为32位(bit)总线。

(6)总线带宽
①可理解为总线的数据传输率,即单位时间内总线上可传输数据的位数,通常用每秒钟传送信息的字节数来衡量,单位可用字节/秒(B/s)表示。
②总线带宽=总线工作频率×总线宽度(bit/s)=总线工作频率×(总线宽度/8)(B/S)
=总线宽度/总线周期( bit/s)=总线宽度/8/总线周期(B/s)
③注:总线带宽是指总线本身所能达到的最高传输速率。在计算实际的有效数据传输率时,要用实际传输的数据量除以耗时。
(7)总线复用
总线复用是指一种信号线在不同的时间传输不同的信息。可以使用较少的线传输更多的信息,从而节省了空间和成本。
(8)信号线数
地址总线、数据总线和控制总线3种总线数的总和称为信号线数。

(9)例题:例.某同步总线采用数据线和地址线复用方式,其中地址/数据线有32根,总线时钟频率为66MHz,每个时钟周期传送两次数据(上升沿和下降沿各传送一次数据)。
①该总线的最大数据传输率(总线带宽)是多少?
②若该总线支持突发(猝发)传输方式,传输一个地址占用一个时钟周期,则一次“主存写”总线事务传输128位数据所需要的时间至少是多少?
③解:
1)每个时钟周期传送两次数据→总线工作频率是时钟频率的两倍总线工作频率=2×66MHz =132MHz
总线宽度= 32bit = 4B
总线带宽=总线工作频率×总线宽度=132 × 4 MB/s = 528 MB/s
2)突发(猝发)传输方式:一次总线事务中,主设备只需给出一个首地址,从设备就能从首地址开始的若干连续单元读出或写入多个数据。
发送首地址占用1个时钟周期,128位数据需传输4次,占用2个时钟周期一个时钟周期= 1/66MHz ≈15ns,总耗时=(1+2)× 15ns =45ns

二、总线仲裁

1.基本概念

(1)同一时刻只能有一个设备控制总线传输操作,可以有一个或多个设备从总线接收数据。
(2)将总线上所连接的各类设备按其对总线有无控制功能分为
①主设备:获得总线控制权的设备。
②从设备:被主设备访问的设备,只能响应从主设备发来的各种总线命令。

(3)为什么要仲裁?
总线作为一种共享设备,不可避免地会出现同一时刻有多个主设备竞争总线控制权的问题。
(4)总线仲裁的定义:
多个主设备同时竞争主线控制权时,以某种方式选择一个主设备优先获得总线控制权称为总线仲裁。

(5)总线仲裁分类:
①集中仲裁方式:链式查询方式、计数器定时查询方式、独立请求方式
②分布仲裁方式

2.集中仲裁方式

(1)工作流程:
①主设备发出请求信号;
②若多个主设备同时要使用总线,则由总线控制器的判优、仲裁逻辑按一定的优先等级顺序确定哪个主设备能使用总线;
③获得总线使用权的主设备开始传送数据。

(2)链式查询方式
在这里插入图片描述
①“总线忙”信号的建立者是获得总线控制权的设备
②优先级:
1)离总线控制器越近的部件,其优先级越高;
2)离总线挫制器越远的部件,其优先级越低。
③优点:链式查询方式优先级固定。只需很少几根控制线就能按一定优先次序实现总线控制,结构简单,扩充容易
④缺点:对硬件电路的故障敏感,并且优先级不能改变。当优先级高的部件频繁请求使用总线时,会使优先级较低的部件长期不能使用总线。

(3)计数器定时查询方式
在这里插入图片描述
①结构特点:用一个计数器控制总线使用权,相对链式查询方式多了一组设备地址线,少了一根总线响应线BG;它仍共用一根总线请求线。
②当总线控制器收到总线请求信号,判断总线空闲时,计数器开始计数,计数值通过设备地址线发向各个部件。
③当地址线上的计数值与请求使用总线设备的地址一致时,该设备获得总线控制权。同时,中止计数器的计数及查询。
④优点:
1)计数初始值可以改变优先次序
a.计数每次从“0”开始,设备的优先级就按顺序排列,固定不变;
b.计数从上一次的终点开始,此时设备使用总线的优先级相等;
c.计数器的初值还可以由程序设置
2)对电路的故障没有链式敏感
⑤缺点:
1)增加了控制线数,若设备有n个,则需[ log2n]+2条控制线
2)控制相对比链式查询相对复杂

(4)独立请求方式
在这里插入图片描述
①结构特点:每一个设备均有一对总线请求线BRi和总线允许线BGi。
②当总线上的部件需要使用总线时,经各自的总线请求线发送总线请求信号,在总线控制器中排队。
③当总线控制器按一定的优先次序决定批准某个部件的请求时,则给该部件发送总线响应信号。
④优点:
1)响应速度快,总线允许信号BG直接从控制器发送到有关设备,不必在设备间传递或者查询。
2)对优先次序的控制相当灵活。
⑤缺点:
1)控制线数量多,若设备有n个,则需要2n+1条控制线。其中+1为BS线,用于设备向总线控制部件反馈已经是否正在使用总线。
2)总线的控制逻辑更加复杂

(5)三种集中仲裁方式对比
在这里插入图片描述

3.分布仲裁方式

(1)特点:不需要中央仲裁器,每个潜在的主模块都有自己的仲裁器和仲裁号,多个仲裁器竞争使用总线。
(2)当设备有总线请求时,它们就把各自唯一的仲裁号发送到共享的仲裁总线上;
(3)每个仲裁器将从仲裁总线上得到的仲裁号与自己的仲裁号进行比较;
(4)如果仲裁总线上的号优先级高,则它的总线请求不予响应,并撤销它的仲裁号;最后,获胜者的仲裁号保留在仲裁总线上。

三、总线操作和定时

在这里插入图片描述

1.总线传输的四个阶段

(1)申请分配阶段:由需要使用总线的主模块(或主设备)提出申请,经总线仲裁机构决定将下一传输周期的总线使用权授予某一申请者。也可将此阶段细分为传输请求和总线仲裁两个阶段。
(2)寻址阶段:获得使用权的主模块通过总线发出本次要访问的从模块的地址及有关命令,启动参与本次传输的从模块。
(3)传输阶段:主模块和从模块进行数据交换,可单向或双向进行数据传送。
(4)结束阶段:主模块的有关信息均从系统总线上撤除,让出总线使用权。

2.总线定时

(1)总线定时是指总线在双方交换数据的过程中需要时间上配合关系的控制,这种控制称为总线定时,它的实质是一种协议或规则
(2)分类
①同步通信(同步定时方式):由统一时钟控制数据传送
②异步通信(异步定时方式):采用应答方式,没有公共时钟标准
③半同步通信:同步、异步结合
④分离式通信:充分挖掘系统总线每瞬间的潜力

(3)同步定时方式-读命令
在这里插入图片描述
①总线控制器采用一个统的时钟信号来协调发送和接收双 方的传送定时关系。
②假设: CPU作为主设备,某个输入设备作为丛设备
1)CPU在T1时刻的上升沿给出地址信息
2)在T2的上升沿给出读命令(低电平有效),与地址信息相符合的输入设备按命令进行–系列的内部操作,且必须在T3的上升沿来之前将CPU所需的数据送到数据总线上。
3)CPU在T3时钟周期内,将数据线上的信息传送到其内部寄存器中。
4)CPU在T4的上升沿撤销读命令,输入设备不再向数据总线上传送数据,撤销它对数据总线的驱动。

3.同步定时方式

(1)同步定时方式是指系统采用一个统一的时钟信号来协调发送和接收双方的传送定时关系。
(2)若干个时钟产生相等的时间间隔,每个间隔构成一个总线周期。
(3)在一个总线周期中,发送方和接收方可进行一次数据传送。
(4)因为采用统一的时钟,每个部件或设备发送或接收信息都在固定的总线传送周期中,一个总线的传送周期结束,下一个总线传送周期开始。
(5)优点:传送速度快,具有较高的传输速率;总线控制逻辑简单。
(6)缺点:主从设备属于强制性同步;不能及时进行数据通信的有效性检验,可靠性较差。
(7)同步通信适用于总线长度较短及总线所接部件的存取时间比较接近的系统。

4.异步定时方式(异步通信)

(1)在异步定时方式中,没有统一的时钟,也没有固定的时间间隔,完全依靠传送双方相互制约的“握手”信号来实现定时控制。
(2)主设备提出交换信息的“请求”信号,经接口传送到从设备;从设备接到主设备的请求后,通过接口向主设备发出“回答”信号。
(3)根据“请求”和“回答”信号的撤销是否互锁,分为以下3种类型。

①不互锁方式(速度最快,可靠性最差)
1)主设备发出“请求”信号后,不必等到接到从设备的“回答”信号,而是经过一段时间,便撤销“请求”信号。
2)而从设备在接到“请求”信号后,发出“回答”信号,并经过一段时间,自动撤销“回答”信号。双方不存在互锁关系。

②半互锁方式
1)主设备发出“请求”信号后,必须待接到从设备的“回答”信号后,才撤销“请求”信号,有互锁的关系。
2)而从设备在接到“请求”信号后,发出“回答”信号,但不必等待获知主设备的“请求”信号已经撤销,而是隔一段时间后自动撤销“回答”信号,不存在互锁关系。

③全互锁方式(最可靠,速度最慢)
1)主设备发出“请求”信号后,必须待从设备“回答”后,才撤销“请求”信号;
2)从设备发出“回答”信号,必须待获知主设备“请求”信号已撤销后,再撤销其“回答”信号。双方存在互锁关系。

(4)优点:总线周期长度可变,能保证两个工作速度相差很大的部件或设备之间可靠地进行信息交换,自动适应时间的配合。
(5)缺点:比同步控制方式稍复杂一些,速度比同步定时方式慢。

5.半同步通信

(1)统一时钟的基础上,增加一个“等待”响应信号
在这里插入图片描述

6.分离式通信

(1)上述三种通信的共同点
一个总线传输周期(以输入数据为例)
①主模块发地址、命令——使用总线
②从模块准备数据——不使用总线
③从模块向主模块发数据——使用总线

(2)分离式通信的一个总线传输周期
①子周期1:主模块申请占用总线,使用完后放弃总线的使用权
②子周期2:从模块申请占用总线,将各种信息送至总线上
③特点:
1)各模块均有权申请占用总线
2)采用同步方式通信,不等对方回答
3)各模块准备数据时,不占用总线
4)总线利用率提高

四、总线标准

在这里插入图片描述

1.总线标准的基本概念

(1)总线标准是国际上公布或推荐的互连各个模块的标准,它是把各种不同的模块组成计算机系统时必须遵守的规范。按总线标准设计的接口可视为通用接口,在接口的两端,任何一方只需根据总线标准的要求完成自身方面的功能要求,而无须了解对方接口的要求。

(2)根据总线在计算机系统中的位置,可分为
①系统总线:通常与CPU直接相连,用于连接CPU与北桥芯片、或CPU与主存等
②局部总线:没有直接与CPU连接,通常是连接高速的北桥芯片,用于连接了很多重要的硬件部件(如显卡、声卡等)
③设备总线、通信总线:通常由南桥芯片控制,用于连接计算机与计算机,或连接计算机与外部I/O设备

2.系统总线标准

在这里插入图片描述
(1)最早的PC总线是IBM公司1981年在PC/XT电脑采用的系统总线,它基于8bit的8088处理器,被称为PC总线或者PC/XT总线。
(2)1984年,IBM推出基于16- bit Intel 80286处理器的PC/AT电脑,系统总线也相应地扩展为16bit,并被称呼为PC/AT总线。而为了开发与IBM PC兼容的外围设备,行业内便逐渐确立了以IBM PC总线规范为基础的ISA (工业标准架构: Industry Standard Architecture )总线。
(3)ISA总线最大传输速率仅为8MB/s,数据传送需要CPU或DMA接口来管理,传输速率过低、CPU占用率高、占用硬件中断资源等,很快使ISA总线在飞速发展的计算机技术中成为瓶颈。不支持总线仲裁。
(4)因此在1988年,康柏、惠普等9个厂商协同把ISA扩展到32 -bit,这就是著名的EISA (Extended ISA, 扩展ISA)总线。EISA 总线的工作频率仍旧仅有8MHz,并且与8/16bit的ISA总线完全兼容,带宽提高了一倍,达到了32MB/s。从CPU中分离出了总线控制权,支持多个总线主控器和突发传送。可惜的是,EISA 仍旧由于速度有限,并且成本过高,在还没成为标准总线之前,在20世纪90年代初的时候,就给PCI总线给取代了。

3.局部总线标准

在这里插入图片描述
(1)1991年,视频电子标准协会针对视频显示的高数据传输率要求而推出了VESA总线,又叫做视频局部总线(VESA local bus), 简称VIL-BUS总线, 由CPU总 线演化而来,是针对多媒体PC要求高速传送活动图像的大量数据应运而生的。
(2)在这里插入图片描述

特点:
①高性能:不依附于某个具体的处理器,支持突发传送。
②良好的兼容性。
③支持即插即用。
④ 支持多主设备。
⑤具有与处理器和存储器子系统完全并行操作的能力。
⑥提供数据和地址奇偶校验的能力。
⑦ 可扩充性好,可采用多层结构提高驱动能力。
⑧采用多路复用技术,减少了总线引脚个数。
(3)在这里插入图片描述

Intel于1996年7月正式推出了AGP (加速图形接口,Accelerated Graphics Port) 接口,这是显示卡专用的局部总线,是基于PCI 2.1版规范并进行扩充修改而成,工作频率为66MHz,1X模式下带宽为266MB/S,是PCI总线的两倍。 后来依次又推出了AGP 2X、AGP 4X,现在则是AGP 8X,传输速度达到了2. 1GB/S. .
(4)在这里插入图片描述

①在传输速率方面,PCIExpress总线利用串行的连接特点将能轻松将数据传输速度提到一一个很高的频率,达到远超出PCI总线的传输速率。与此同时,PCI Express总线支持双向传输模式,还可以运行全双工模式。
②支持热拔插。

4.设备总线标准

(1)在这里插入图片描述

RS-232C是应用于串行二进制交换的数据终端设备(DTE) 和数据通信设备(DCE)之间的标准接口。
(2)在这里插入图片描述

SCSI (小型计算机系统接口)是一种用于计算机和智能设备之间(硬盘、软驱、光驱、打印机、扫描仪等)系统级接口的独立处理器标准。SCSI是一种 智能的通用接口标准。
(3)在这里插入图片描述

由于可移动计算机(笔记本)用户对PC卡的需求变了,要求强度高,能耗低,尺寸小,而且对这几条性能的要求都很高。所以PC卡的标准也相应地变了。1991年, PCMCIA定义了原本用于内存卡的68个脚的I/0连接线路标准。同时增加了插槽使用说明。生产商意识到软件需要提高兼容性,因而这项标准也就得到了相应的应用。
(4)在这里插入图片描述

①USB是在1994年底由英特尔等多家公可联合在1996年推出后,已成功替代串口和并口,已成为当今电脑与大量智能设备的必配接口。USB属于设备总线,是设备和设备控制器之间的接口。
②即插即用
在这里插入图片描述

③差模信号:根据2、3的压差来确定1bit数据,差模信号的抗干扰能力很强,因此工作频率可以很高
④注意:USB每次只能传输1bit数据
(5)在这里插入图片描述

Integrated Drive Electronics (电子集成驱动器)本意是指把“硬盘控制器”与“盘体”集成在一起的硬盘驱动器。
(6)在这里插入图片描述

①与并行ATA相比,SATA具有比较大的优势。首先,SerialATA以连续串行的方式传送数据,可以在较少的位宽下使用较高的工作频率来提高数据传输的带宽。Serial ATA- .次只会传送1位数据,这样能减少SATA接口的针脚数目,使连接电缆数目变少,效率也会更高。同时还能降低系统能耗,减小系统复杂性。
②其次,Serial ATA的起点更高、发展潜力更大,Serial ATA 1. 0定义的数据传输率可达150MB/sec,这比目前最块的并行ATA (即ATA/133)所能达到133MB/ sec的最高数据传输率还高,而在已经发布的Serial ATA 2. 0的数据传输率将达到300MB/sec,最终Serial ATA 3. 0将实现600MB/sec的最高数据传输率。

5.速度对比(裱框的常考)

在这里插入图片描述

6.趋势:串行取代并行

(1)并行总线:用m根线每次传送m个比特,用高/低电平表示1/0, 通常采用同步定时方式,由于线间信号干扰,因此总线工作频率不能太高。另外,各条线不能有长度差,长距离并行传输时工艺难度大。
(2)串行总线:用两根线每次传送一 个比特,采用“差模信号”表示1/0,通常采用异步定时方式,总线工作频率可以很高。现在的串行总线通常基于包传输,如80bit为一 一个数据包,包与包之间有先后关系,因此可以用多个数据通路分别串行传输多个数据包。因此某种程度上现在的串行总线也有“并行”的特点

(3)实例
在这里插入图片描述

总结

在总线中,应重点记忆总线的各种分类,理解总线仲裁过程,了解总线相关性能指标,对总线有明确的认知体系。
如有问题,欢迎指正!
下一篇将介绍——存储器。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

计算机组成原理——总线 的相关文章

  • 计算机组成原理2(PCI总线结构框图)

    文章目录 一 以存储器为中心的双总线结构框图二 PCI总线结构 一 以存储器为中心的双总线结构框图 双总线介绍 xff1a 该双总线结构在单总线的基础上开辟出了一条CPU与主存之间的总线 xff0c 称为存储总线 xff1b 这组总线速度高
  • 计算机组成原理3(集中式总线判优控制、总线通信控制)

    文章目录 一 集中式总线判优控制1 链式查询方式2 计数器定时查询方式3 独立请求方式 二 总线通信控制1 概念解释2 四种通信方式 一 集中式总线判优控制 总线控制用来做什么的 xff1f 答 xff1a 总线判优控制解决多个部件同时申请
  • 计算机组成原理

    文章目录 第一章 计算机的基本组成第二章 计算机的发展及应用第三章 计算机的系统总线第四章 存储器第五章 输入输出系统第六章 数字第七章 CPU指令第八章 CPU结构和功能第九章 控制单元的功能第十章 控制单元的设计 第一章 计算机的基本组
  • 《计算机组成原理》第6章:总线

    第6章 xff1a 总线 6 1 总线概述 总线的定义 总线是一组能为多个部件分时共享的公共信息传送线路 分时和共享是总线的两个特点 分时是指同一时刻只允许有一个部件向总线发送信息 xff0c 如果系统中有多个部件 xff0c 则它们只能分
  • Quartus II 13.0波形仿真

    先放结论 Quartus II 13 0有自带的仿真工具 能实现波形仿真 之前一直找不到关于Quartus II 13 0的波形仿真 然后百度的都是说quartus ii 9 0之后的版本就没有这个功能了 只能再下一个modelsim之类的
  • 计算机组成原理实验三-----系统总线和具有基本输入输出功能的总线接口实验

    总线是计算机中连接各个功能部件的纽带 是计算机各部件之间进行信息传输的公共通路 总线不只是一组简单的信号传输线 它还是一组协议 他有两大特征 分时 同一总线在同一时刻 只能有一个部件占领总线发送信息 其他部件要发送信息得在该 部件发送完释放
  • 面试---计算机基础

    1 C C 内存有哪几种类型 C中 内存分为5个区 堆 malloc 栈 如局部变量 函数参数 程序代码区 存放二进制代码 全局 静态存储区 全局变量 static变量 和常量存储区 常量 此外 C 中有自由存储区 new 一说 全局变量
  • 浮点的加减计算方法

    浮点的计算方法 1 计算步骤 2 基本要素 2 1 浮点数 2 2 规格化浮点数 2 3 偏置指数 2 4 IEEE浮点数 2 5 特点 3 计算实例 4 舍入机制 扩展 乘除计算步骤 1 计算步骤 浮点数格式 单精度 符号位1位 阶码8位
  • 动态链接,程序内部的共享单车

    前言 在之前说过 程序的编译过程是 编译 汇编 链接 前面的两种方式我们已经充分的理解过了 现在我们来说说我们的链接过程 我们很多时候要做到代码的复用 我们就需要链接不同的库 这么来说 我们链接的过程就有点像生活中标准化 模块化的生产 我们
  • 【计算机组成原理】——原码,反码,补码,移码怎样计算

    机器数与真值 把符号 数字化 的数称为机器数 而把带 或 的数称为真值 正负号用二进制的0 1表示 0 1 x 001 机器数 第一位表示符号 x 1 x 101 真值 直接用 表示 x 5 原码 反码 补码 移码的计算 正数的原码 反码
  • 1. 存储器分类

    存储器的分类 存储器有很多的分类依据 按存储介质 读写功能 存取方式 在计算机中的作用等 按存储介质分类 磁性介质 磁盘 磁带 光介质 光盘 半导体介质 内存 固态硬盘 内存是易失性存储器 掉电后无信息保存 固态硬盘是非易失性存储器 掉电信
  • 同步通讯和异步通讯(简单理解)

    同步通信和异步通信 简单理解 注 本篇文章只是告诉你什么是同步通信 什么是异步通信 即使没有计算机基础的同学也适合阅读 同时也能帮助计算机专业同学更好理解这个知识点 但是如果想深入学习 还需自己翻阅资料 一 电脑完成一个读命令需要的步骤 主
  • 《计算机组成原理实验》 多周期CPU

    计算机组成原理实验 多周期CPU 前言 这是中山大学2018年计算机组成原理实验中多周期CPU的实验报告 仿真与写板的内容暂略 所有源代码 包括写板 已经上传至我的github当中 欢迎大家访问 github个人主页 https stara
  • 计算机组成原理——单周期CPU

    单周期CPU 项目代码 实验原理 MIPS指令 rom coe文件 代码 顶层模块SingleCycleCPU display外围模块 PC instructionMemory Alu模块 DataMemory ControlUnit 旧的
  • 计算机组成原理--基于Logisim的汉字的表示的应用(超详细/设计/实验/作业/练习)

    目录 课程名 计算机组成原理 内容 作用 设计 实验 作业 练习 学习 基于Logisim的汉字的表示 一 前言 二 环境与设备 三 内容 四 结果与分析 课程名 计算机组成原理 内容 作用 设计 实验 作业 练习 学习 基于Logisim
  • 第一章 计算机系统概论

    一 计算机系统简介 1 计算机软硬件概念 计算机是一种能够执行指令的电子设备 它由硬件和软件两部分组成 计算机硬件是指计算机系统中的物理组件 包括中央处理器 CPU 内存 硬盘 输入设备 如键盘 鼠标 输出设备 如显示器 打印机 等 这些硬
  • 第三章 总线

    一 系统总线概念 系统总线是计算机内部各个组件之间传输数据和控制信息的通信线路 连接中央处理器 内存 输入输出设备 扩展插槽等各个组件 是计算机系统中最重要的硬件组成部分之一 具有数据传输 控制信号传输和总线协议等功能 系统总线的性能对计算
  • 【计算机组成原理】2、二进制原码反码补码、左移右移、进制转换,进制相减、内存地址偏移计算与容量计算

    文章目录 一 二进制的原码 反码 补码 1 1 二进制计算 1 2 左移 右移 1 3 异或 二 进制转换 2 1 二进制 八进制 十六进制转为十进制 2 2 十进制转为二进制 八进制 十六进制 2 3 二进制转为八进制 十进制 十六进制
  • 【408】计算机学科专业基础 - 计算机组成原理

    一 计算机系统概述 复习提示 本章是组成原理的概述 考查时易针对有关概念或性能指标出选择题 也可能综合后续章节的内容出有关性能分析的综合题 掌握本章的基本概念 是学好后续章节的基础 部分知识点在初学时理解不深刻也无须担忧 相信随着后续章节的
  • 计算机组成原理综合1

    1 完整的 计算机系统 应包括 D A 运算器 存储器和控制器 B 外部设备和主机 C 主机和实用程序 D 配套的硬件设备和软件系统 2 计算机系统中的存储器系统是指 D A RAM存储器 B ROM存储器 C 主存储器 D 主存储器和外存

随机推荐

  • github上docker镜像创建容器

    docker介绍 三个概念 1 镜像 xff1a 类似于模版 xff0c 在没有添加实例化前不能使用 2 容器 xff1a 镜像实例化 3 docker xff1a 放容器的一个载体 总结 xff1a docker就像一艘船 xff0c 上
  • vi/vim基本命令

    目录 打开创建文档模式介绍显示行号增删改查光标移动文档操作 打开创建文档 span class token function vim span hello txt 打开已存在hello txt文档或者创建一个不存在的hello txt文档
  • 关于leetcode刷题详细介绍

    虽然刷题一直饱受诟病 xff0c 不过不可否认刷题确实能锻炼我们的编程能力 xff0c 相信每个认真刷题的人都会有体会 现在提供在线编程评测的平台有很多 xff0c 比较有名的有 hihocoder xff0c LintCode xff0c
  • leetcode:13罗马数字转整数c++

    思路分析 两种情况 1 不同的罗马数字都是从左到右依次相加 2 不同的就是末尾数是4和9的情况 xff0c 也就是IV VIV xff08 if xff09 代码框架 整体过程 从题目中字符和数字的对应关系 xff0c 不难想到数据结构un
  • leetcode:20有效的括号——stack

    思路分析 题目匹配的情况有两中 xff1a 和 xff08 xff09 匹配成功的思路是每个 或者其他右包围结构都是和最近的 或者其他左包围结构匹配 最近 匹配的思想应该使用stack结构对数据进行操作 代码框架 1 遍历整个string
  • leetcode:21合并两个有序连表——slist

    思路分析 链表 xff1a 头节点为空 xff0c 每个节点有一个指针 xff0c 指向下一个节点的地址 俩链表节点之间比较 xff0c 用遍历 96 96 for xff0c 因为是list xff0c 可以直接通过迭代器 xff08 指
  • leetcode:53最大子数组和

    思路分析 有2个变量是一直变化的 1 最大集合的第一个元素 2 存放最大的变量 0 变量初始化 span class token keyword int span res span class token operator 61 span
  • Ubuntu安装docker及出现问题解决

    Ubuntu安装docker及出现问题解决 文章目录 Ubuntu安装docker及出现问题解决一 安装docker二 解决docker安装成功后 xff0c docker命令无法正常使用的问题 一 安装docker docker的安装可参
  • stl大全

    什么是STL xff1f 大佬 xff1a 为什么C 43 43 比C更受人欢迎呢 xff1f 除了C 43 43 的编译令人感到更舒适 xff0c C 43 43 的标准模板库 xff08 STL xff09 也占了很重要的原因 当你还在
  • input 图片上传

    使用 在vue项目中使用input上传图片给后台时 xff0c 需要对图片文件流和请求头进行一些处理 1 获取上传图片文件流 span class token tag span class token tag span class toke
  • Unix环境高级编程代码(实时更新)

    实例1 3 列出一个目录中所有文件 xff08 ls c xff09 include 34 apue h 34 include lt dirent h gt int main int argc char argv DIR dp struct
  • ROS入门(二):launch文件解析

    ROS入门 xff08 二 xff09 xff1a launch文件解析 文章目录 ROS入门 xff08 二 xff09 xff1a launch文件解析一 launch文件的运行二 launch文件格式1 浏览顺序 xff08 Eval
  • RLException: [**] is neither a launch file in package [**] nor is [**] a launch file name,.......

    按照网上现有对这个问题的解决方法 xff1a 方法1 xff0c 在工作空间下source 方法2 xff1a 对其进行路径添加 这个问题的来源还有一种来源 xff0c 你得确定你在终端运行的这个launch文件名是否写对 xff0c 打开
  • Linux 安装node.js和npm教程

    第一步 xff1a 去node js官网下载安装包 或者直接 wget https nodejs org dist v10 15 0 node v10 15 0 linux x64 tar xz 第二步 xff1a 解压 tar xf no
  • could not establish connection to “hostname”

    解决方案 Try to delete the fingerprint a single line that corresponds to this particular connection not the whole file saved
  • 基于UCOSIII的环境检测项目

    基于UCOSIII的环境检测项目 运用STM32F103C8T6开发板为项目核心板 xff0c 并加入UCOSIII实时操作系统 在操作系统基础上 xff0c 搭配外围传感器实现基于STM32环境检测项目开发 主要运用库函数在操作系统基础上
  • 进程与线程

    进程与线程 讲线程之前要了解 xff1a 进程间的通信 xff08 Inter Process Communication IPC xff1a 理论上 xff0c 进程之间是独立的 xff0c 但实际上往往是多个进程之间的互相配合完成复杂的
  • STM32的八种工作模式

    一 模式介绍 STM32单片机具有高性能 低成本 低功耗的优点 xff0c 与它打交道就必须先了解它的几种工作模式 xff0c 它共有八种IO口模式 xff0c 分别是 xff1a 模拟输入 浮空输入 上拉输入 下拉输入 开漏输出 推挽输出
  • matlab学习笔记

    matlab笔记 上课期间自己整理的一系列matlab相关用法的笔记 xff0c 自认为也并不非常有条理 xff0c 但还是囤放在这里 xff0c 供需要的人查阅 取用 写在前面 help 43 函数名 xff1a 查找某函数的使用方法lo
  • 计算机组成原理——总线

    一 总线概述 1 xff0e 基本概念 xff08 1 xff09 总线简图 每个总线可能由很多根信号线组成 xff08 2 xff09 总线的物理实现 如上图 xff0c 4根信号线组成 一根 总线 xff0c 所有硬件部件都可以通过这根