VCS-Verdi ubuntu 安装

2023-05-16

前言

金鱼博主今天又花了大半天重装VCS+Verdi,现在记录一下,以备下回重装…

顺带一提,我的安装环境是虚拟机的Ubuntu 16.04。

参考

1.安装流程参考自:https://blog.csdn.net/qq_40829605/article/details/85345795

2.安装过程中各种问题的解决办法参考:VCS+Verdi 安装及破解过程(Ubuntu)【1】_huayangshiboqi的博客-CSDN博客_安装vcs

3.安装过程中各种问题的解决办法参考:从零开始VCS+Verdi 安装过程_Ztrans的博客-CSDN博客_vcs安装教程

4.安装gcc-4.8和g++-4.8:Ubuntu16.04 安装 gcc 4.8_Xiaoyu Mo-CSDN博客

5.测试是否正确安装:简易VCS+verdi使用【3】_huayangshiboqi的博客-CSDN博客

安装步骤

1. 安装文件下载

–vcs_2016
–verdi_2016
–scl_11.9    #此程序统一管理license等破解文件
–synopsys_installer #此程序用于安装以上3个程序
–scl_keygen #此文件用于生成license文件

网盘链接:

vcs_2016:
https://pan.baidu.com/s/1SWk4TUaAqG9zgfZPKQJBqw 提取码:ckhi
verdi_2016:
https://pan.baidu.com/s/1tSeup0tPo60NI0w9e8e_bA 提取码:wnwq
scl_v11.9:
https://pan.baidu.com/s/1FCY8wDEPxJqs8GtDv3iN4g 提取码:5ert
synopsys_installer:
https://pan.baidu.com/s/1_7F-sTfi1QvEg-XNy4IWWw 提取码:elml
scl_keygen:
https://pan.baidu.com/s/1Zc-TaEiPpb9Zci1Kj7chKA 提取码:97ee

2.安装准备

解压缩SynopsysInstaller.rar,后把synopsys_installer、vcs_2016、verdi_2016、scl_v11.9传到虚拟机中。

建立安装目录及相关文件夹(假设用户名叫tony):


  
  1. cd /home/tony

  2. mkdir synopsys

  3. cd synopsys

  4. mkdir vcs_2016.06

  5. mkdir scl_11.9

  6. mkdir verdi_2016.06-1

3.安装Synopsys installer

输入命令:


  
  1. cd /home/tony/synopsys_installer

  2. ./SynopsysInstaller_v3.3.run

  3. ./setup.sh

出现如下弹窗:(我这里文字不知道为什么显示的特别大)

按照如下操作依次安装vcs、verdi和scl(顺序无所谓):

Site ID Number所在页不用做修改,直接next。第一个browse选择安装包所在文件夹。然后选择安装版本,建议优先选择Linux64版本(否则可能少文件夹)。第二个browse选择安装目录,也就是第2步新建的对应文件夹。

4.获取License

在下载下来的scl_keygen文件夹中,打开scl_keygen.exe,需要修改HOST ID Daemon、HOST ID Feature和HOST Name。

HOST ID Daemon和HOST ID Feature填一样的信息。输入ifconfig,会打印出一堆信息。信息第一行中有这样格式的信息“ABcdef 00:11:22:33:44:55”,则HOST ID Daemon为“001122334455”

HOST Name输入命令hostname即可获得。

填好后,点击Generate,在同一个文件夹下出现Synopsys.dat。

5.修改Synopsys.dat文件并复制到vcs和verdi的license文件夹

修改Synopsys.dat中的第二行为:

DAEMON snpslmd /home/tony/synopsys/scl_11.9/amd64/bin/snpslmd 

将修改好的Synopsys.dat复制到如下路径:(如果没有license文件夹,就新建一个)


  
  1. /home/tony/synopsys/vcs_2016.06/license

  2. /home/tony/synopsys/verdi_2016/license

6.安装gcc-4.8和g++4.8

确认gcc与g++的版本,如果都为4.8则直接跳到第七步:


  
  1. gcc --version

  2. g++ --version

安装gcc-4.8:


  
  1. sudo apt-get install gcc-4.8

  2. ls /usr/bin/gcc*

  3. sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 100

  4. sudo update-alternatives --config gcc

安装g++4.8:


  
  1. sudo apt-get install g++-4.8

  2. ls /usr/bin/g++*

  3. sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/g++-4.8 100

  4. sudo update-alternatives --config g++

注:如果不是4.8版本则在使用vcs编译时会报错:make:gcc-4.8:command not found

7.设置环境变量

输入如下命令来打开bashrc文件(注意此时不要在root权限下打开):

vim ~/.bashrc

在文件最后加上如下信息:


  
  1. #dve

  2. export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/gui/dve/bin #改成自己vcs的安装路径

  3. alias dve='dve -full64'

  4. #VCS

  5. export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/bin #改成自己vcs的安装路径

  6. alias vcs='vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed'

  7. #vcs需要的固定的参数,注意系统要安装gcc-4.8和g++-4.8,这两个需要自己独立安装

  8. #VERDI

  9. export PATH=$PATH:/home/tony/synopsys/verdi_2016.06-1/bin #改成自己Verdi安装路径

  10. export VCS_HOME=/home/tony/synopsys/vcs_2016.06

  11. export VERDI_HOME=/home/tony/synopsys/verdi_2016.06-1

  12. export NOVAS_HOME=/home/tony/synopsys/verdi_2016.06-1

  13. anias verdi='verdi'

  14. #LICENCE

  15. export LM_LICENSE_FILE=27000@tony-pc #改成自己的host name

  16. alias lmg_vcs='lmgrd -c /home/tony/synopsys/vcs_2016.06/license/Synopsys.dat' #注意改路径

  17. #scl

  18. export PATH=$PATH:/home/tony/synopsys/scl_11.9/linux/bin #改SCL安装路径

  19. export VCS_ARCH_OVERRIDE=linux

保存后,输入如下命令使文件立即生效:

source .bashrc

8.激活License

可能需要安装:

sudo apt-get install lsb-core

激活:(每次重启都要重新激活)

lmg_vcs

停在如下位置后,按下回车,完成激活:

常见问题:

1、若途中有关TCP port的报错,查询27000端口进程,直接kill 掉等待27000端口完全释放再重新lmg_vcs即可。
command:
sudo netstat -ap | grep 27000
kill -9 ******

2、Failed to open the TCP port number in the license问题:

在Terminal输入:lmdown

然后会问y/n,输入y。等待一会儿就可以了。注意要等待一会儿

参考:(lmgrd) Failed to open the TCP port number in the license. - 第3页 - IC验证讨论 - EETOP 创芯网论坛 (原名:电子顶级开发网) -

3、lmgrd can't make directory /usr/tmp/.flexlm when running server on Ubuntu问题:

这个问题,可以参考这个链接ARM Flex许可证服务器LOG提示 Can't make directory /usr/tmp/.flexlm错误 - 常见问题解答 - 米尔科技

输入输入如下代码:

sudo -i              #切换到root权限
mkdir /usr/tmp/      #创建目录(Ubuntu下没有这个目录)
chmod 777 /usr/tmp/  #修改权限

9.运行软件并测试:

输入如下命令打开VCS图形化界面

dve

至于vcs+verdi的测试和运行可以参考这篇文章的流程和代码:

简易VCS+verdi使用【3】_huayangshiboqi的博客-CSDN博客

一些问题解决办法:

  • /bin/sh illegal option -h,输入如下命令:

  
  1. sudo rm -f /bin/sh

  2. sudo ln -s /bin/bash /bin/sh

  • /home/hdl/synopsys/verdi_2016.06-1/platform/LINUXAMD64/bin/Novas: error while loading shared libraries: libjpeg.so.62: cannot open shared object file: No such file or directory
sudo apt-get install libjpeg62-dev
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

VCS-Verdi ubuntu 安装 的相关文章

  • 当请求太大时,Nginx(我认为)会以错误的权限保存文件

    所以 我对托管和 Linux 等都是完全陌生的 所以如果我说错了 请原谅我 我还在学习 我正在使用 Django 创建一个小型个人网站 我想把它放到网上看看是否一切正常 我从 linode 买了一台便宜的服务器 并使用 Digital Oc
  • 如何在 ubuntu 上的 emacs 中运行 shell 命令,同时避免 bash 作业控制错误?

    我在 ubuntu 中安装了 emacs 使用 sudo apt get install emacs 我遇到的问题是 当我尝试从 emacs 中运行 shell 命令时 例如M ls 输出之前是这样的 bash cannot set ter
  • Azure Nvidia 中的 apt-update 出现公钥错误

    我在 AZURE 上启动了 NVIDIA VM 并尝试使用进行更新sudo apt update但给出错误 Hit 2 http azure archive ubuntu com ubuntu focal InRelease Hit 3 h
  • 保护一个保存 MySQL 数据库的简单 Linux 服务器?

    这是一个初学者问题 但我浏览了该网站上的许多问题 但没有找到简单直接的答案 我正在设置一个运行 Ubuntu 的 Linux 服务器来存储 MySQL 数据库 该服务器尽可能安全非常重要 据我所知 我主要担心的是传入的 DoS DDoS 攻
  • 在 Linux/Ubuntu 计算机上通过 Python/Django 设置网络设置

    我正在为嵌入式计算机开发一个简单的网络界面 计算机将附带一个静态默认 IP 然后需要由可能不懂技术 Linux 的安装技术人员进行更新 基本上我需要从 Django 应用程序更改以下系统设置 IP地址 Subnet 默认网关 DNS 服务器
  • vagrant + virtualbox 等待机器启动时超时

    抱歉 我对 vagrant 很陌生 我正在运行 vagrant 1 4 3 和 virtualbox 4 3 26 我的主机操作系统是 ubuntu 14 04 2 我是一名 php 开发人员 我正在努力让我的开发环境为几个项目做好准备 我
  • 使用mongodb+srv配置Mongo

    我在 docker 上启动 mongo 所以默认主机 localhost port 27017 用户名 root密码 example 现在我想通过连接字符串进行连接 mongodb srv root example localhost si
  • http://localhost:50070/ 的 hadoop Web UI 不起作用

    命令 jps 显示以下详细信息 第5144章 5464 节点管理器 5307 资源管理器 5800 Jps 显然namenode和datanode丢失了 网络用户界面位于http 本地主机 50070 http localhost 5007
  • 箭头键变成 Telnet 中的控制字符

    我在 Ubuntu 终端中 并通过 telnet 连接到服务器 现在每当我输入 up 时 它就会变成 A 其他箭头键也变成控制字符 有没有办法可以运行 telnet 以便它理解我的箭头键 这将是一个巨大的帮助 因为我想在我的命令历史记录中向
  • 有没有人在 Ubuntu 16.04 LTS 上安装 QGIS

    按照以下网址提供的说明进行操作 这一页 http www qgis org en site forusers alldownloads html并收到以下错误 以下软件包具有未满足的依赖关系 python qgis Depends pyth
  • 将 Docker 与具有 Node-gyp 依赖项的 Nodejs 一起使用

    我计划使用 Docker 部署 node js 应用程序 该应用程序有几个需要node gyp的依赖项 Node gyp 根据交付平台上的编译库构建这些模块 例如 canvas lwip qrcode 根据我的经验 这些构建可能高度依赖于操
  • 伪分布式模式下的 Hadoop。连接被拒绝

    P S 请不要将此标记为重复 Hi 我一直在尝试以伪分布式模式设置和运行 Hadoop 当我运行 start all sh 脚本时 我得到以下输出 starting namenode logging to home raveesh Hado
  • 在 Ubuntu 16.04 上找不到 printf.c

    我最近切换到Ubuntu 16 04 我在用vscode作为 Ubuntu 上的 IDE 我配置了其他语言 但我无法做到这一点C C 我创建c cpp properties json launch json tasks json 当我开始编
  • 给出 5 个参数,但在终端中只得到 3 个参数

    我想将一个文件传递给一个c 程序 如果我在 IDE 中执行此操作 test string string lt test txt return argc 5 但在终端上我刚刚得到argc 3 看来 这是因为 什么是 lt 意思是 我正在使用
  • 在 Ubuntu 中执行 .cgi 文件

    我在 Ubuntu 下运行 Apache PHP 当我运行 cgi 文件时 通过http localhost mycgi cgi 浏览器将显示代码而不是运行它 如何让浏览器执行 CGI 文件而不是显示其内容 将这些行添加到您的 apache
  • 我需要启用哪些权限才能使 Docker 卷正常工作?

    假设我有一个保存一些数据的 Docker 容器 我希望这些数据能够持续存在 如果容器被停止 删除 升级等 我仍然希望数据位于主机操作系统文件系统上的可访问位置 目前 我的解决方案是创建一个目录 srv service name在我的主机上
  • ubuntu中R的igraph包的安装

    我使用以下命令在 ubuntu 中安装 R 的 igraph 包 install packages igraph 但我收到一条错误消息 警告 无法访问存储库的索引 http ftp iitm ac in cran src contrib h
  • 在 Ubuntu 中使用 Gstreamer 通过 RTP 协议流式传输 Mp4 视频

    我正在尝试从本地目录获取视频文件 启用来自服务器的流并从客户端捕获这些帧 我使用了以下管道 服务器端 gst launch v filesrc location home gokul Videos Econ TestVideo radioa
  • Ubuntu 上的 Vim:文本渲染错误,奇怪地重复和消失

    不久前我在 ubuntu 12 04 上安装了 vim 有时 当我在代码上运行光标 使用键盘 而不是鼠标 时 文本会消失 就好像渲染字符时出现问题一样 当我再次运行光标时 它通常会重新出现 这似乎是随机发生的 但通常足以让人恼火 为了更清楚
  • Ubuntu 上的 Python 2.7

    我是 Python 新手 正在 Linux 机器 Ubuntu 10 10 上工作 它正在运行 python 2 6 但我想运行 2 7 因为它有我想使用的功能 有人敦促我不要安装 2 7 并将其设置为我的默认 python 我的问题是 如

随机推荐

  • linux下使用jlink 调试 stm32的破事

    安装libusb sudo apt get install libusb 安装readline wget c ftp ftp gnu org gnu readline readline 6 2 tar gz tar zxvf readlin
  • FreeRTOS系列|软件定时器

    软件定时器 MCU一般都自带定时器 xff0c 属于硬件定时器 xff0c 但是不同的MCU其硬件定时器数量不同 xff0c 有时需要考虑成本的问题 在硬件定时器不够用的时候 xff0c FreeRTOS也提供了定时器功能 xff0c 不过
  • 视频芯片选择

    常用的视频芯片记录 HDMI TI ITE Explore Silicon image ADI semtech https www semtech com Realtek MACRO http www mitinc co kr module
  • 眼图里的那些破事

    1 眼图基本概念 1 1 眼图的形成原理 眼图是一系列数字信号在示波器上累积而显示的图形 xff0c 它包含了丰富的信息 xff0c 从眼图上可以观察出码间串扰和噪声的影响 xff0c 体现了数字信号整体的特征 xff0c 从而估计系统优劣
  • IIC的地址

    7位寻址 在7位寻址过程中 xff0c 从机地址在启动信号后的第一个字节开始传输 xff0c 该字节的前7位为从机地址 xff0c 第8位为读写位 xff0c 其中0表示写 xff0c 1表示读 图1 xff1a 7位寻址 I2C总线规范规
  • ODR, BSRR, BRR的差别

    ODR寄存器可读可写 xff1a 既能控制管脚为高电平 xff0c 也能控制管脚为低电平 管脚对于位写1 gpio 管脚为高电平 xff0c 写 0 为低电平 BSRR 只写寄存器 xff1a color 61 Red 既能控制管脚为高电平
  • ACAP究竟是什么

    Xilinx推出Versal系列 xff0c 号称业界首款ACAP xff0c 自适应计算加速平台 ACAP不仅是一个新的处理器 xff0c 而且是新的产品类型 作为率先推出ACAP这样类型产品的公司 xff0c 这也是赛灵思的核心竞争力所
  • ISE 14.7 调试错误笔记

    1 ERROR Pack 2530 The dual data rate register 34 U sys ctl ODDR2 inst 2 34 failed to join an OLOGIC component as require
  • HDMI 4K分辨率 时序

    参考 HDMI1 4标准 High Definition Multimedia Interface Specification 这份文件放在百度网盘共享了 xff0c 上传到文档平台会被封禁 xff0c 如果侵权 xff0c 麻烦联系我删除
  • 深度学习CPU,GPU,NPU,TPU以及其计算能力单位

    处理器运算能力单位 TOPS是Tera Operations Per Second的缩写 xff0c 1TOPS代表处理器每秒钟可进行一万亿次 xff08 10 12 xff09 操作 与此对应的还有GOPS xff08 Giga Oper
  • SSD数据集增强方法

    coding utf 8 import numpy as np import random import cv2 import glob import os import xml etree cElementTree as ET def r
  • 目标检测图像增强

    https blog csdn net wei guo xd article details 74199729 常用的图像扩充方式有 xff1a 水平翻转 xff0c 裁剪 xff0c 视角变换 xff0c jpeg压缩 xff0c 尺度变
  • FreeRTOS系列|低功耗管理

    低功耗管理 很多应用场合对于空耗的要求很严格 xff0c 比如可穿戴低功耗产品 物联网低功耗产品等 一般MCU都有相应的低功耗模式 xff0c 裸机开发时可以使用MCU的低功耗模式 FreeRTOS也提供了一个叫Tickless的低功耗模式
  • PELCO-D

    https blog csdn net subfate article details 36644419 在搞visca的同时顺便也搞了pelco 这里再做个笔记 pelco xff0c 中文翻译为 派尔高 xff0c 在行文和写代码过程
  • 图像去模糊算法 deblur

    图像去模糊算法 循序渐进 附完整代码 https www cnblogs com cpuimage p 9735150 html xff08 后面要对比smartdeblur xff0c deblur gan xff09 关于图像模糊算法的
  • 点云数据文件常用格式

    点云数据文件常用格式 文件类型汇总 OFF Object File FormatPLY Polygon File Format also known as the Stanford Triangle FormatPTS Laser scan
  • deeplab介绍

    论文 Encoder Decoder with Atrous Separable Convolution for Semantic Image Segmentation 链接 https www paperweekly site paper
  • 皱纹检测Wrinkle-detection

    基于图像处理的皱纹检测算法 https github com bulingda Wrinkles detection blob master Wrinkle py 基于RCNN 毛孔检测 https github com jack16888
  • VINS slam , imu fusion

    VINS 基本介绍 VINS Mono 和 VINS Mobile 是香港科技大学沈劭劼老师开源的单目视觉惯导 SLAM 方案 2017年发表于 IEEE Transactions on Robotics 另外 xff0c VINS 的最新
  • VCS-Verdi ubuntu 安装

    前言 金鱼博主今天又花了大半天重装VCS 43 Verdi xff0c 现在记录一下 xff0c 以备下回重装 顺带一提 xff0c 我的安装环境是虚拟机的Ubuntu 16 04 参考 1 安装流程参考自 xff1a https blog