超详细!基于Proteus的简易测频计实现(数字电路课程设计)

2023-10-27

本文阐述基于Proteus 7.8的简易测频计电路的实现,附具体电路的工程文件下载:
工程文件下载链接

设计要求

  1. 闸门时间1S﹑10S可选。
  2. 读数保持时间10秒(可选)。
  3. 四位数字显示,范围000.1~9999 Hz。
  4. 能够自动进行下一次测量。

设计方法

分模块完成:
(1) 施密特整形电路,对输入信号进行整形,提高测量的稳定性和可靠性。
(2) 秒信号发生器及分频器,采用计数器构成模1和模10的分频器,分别得到周期为1S和10S的门控信号。
(3) 测量控制(闸门)
(4) 读数保持与清除

具体电路如下:
图1  电路图总览

电路工作原理分析

施密特整形电路

施密特整形电路主要是由运算放大器、电阻,稳压二极管组成,产生反馈来维持稳态平整波形的电路。可将三角波、正弦波等变成方波,便于通过电平的高低达到计数的效果,并且还可以将脉冲波整形,避免波形畸变,出现上升沿和下降沿不理想的情况,可用施密特触发器整形后,获得较理想的矩形脉冲。

由于电阻网络将施密特触发器的输入端(即比较器的同相‘+’端)和输出端连接起来,达到反馈的目的。利用稳压隧道二极管来整波,防止出现波形变形。设置阈值施密特触发器能在不同的时刻翻转电平。若输入是绝对值很大的负输入,输出将为低电平;若输入是绝对值很大的正输入,输出将为高电平,这就实现了同相施密特触发器的功能。
图2  施密特整形电路

实现效果:
图3  正弦波通过整形电路

秒信号发生器及分频器

按照要求,采用555定时器,产生周期1s的方波脉冲,按照公式

频率 f =1.43 / ((R1+2R2)*C)

可得当R1=R2=10kΩ,C=47uF时,可以产生1s的脉冲,在接上一个十进制技术器(我选择74ls161,因为74ls160只能跳9次,影响高频测定),但也保证总的测量周期不变,PPT设计要求里前后要求不一致,无论周期是多少,原理都相同。我就直接用了1s和10s的测量周期,可用switch开关进行选择,为了防止开关打开而产生的跳变或者脉冲宽度误差影响计数,我采用74ls74延迟计数信号,连到阀门上。具体电路如下图:
图4  秒信号发生器及分频器

测量控制(阀门)

直接使用与门,一端连接待测信号,另一端连接分频器,为了防止跳变,我还是设置了一个switch开关。
图5  测量控制(阀门)

计数及显示模块

这个说简单也简单,说复杂也复杂。通过阀门的信号直接接到异步十进计数器上,由于需要4位显示,所以用了3个74ls161,最高位用74ls160以保证不会溢出(最高位不超过9,因为74ls161是二进制计数器,74ls160是十进制计数器),然后连接到2个锁存器74ls273上,锁存之后通过7段显示译码器74ls48连接到数码管上。这个模块只需注意清零和进位的逻辑关系即可,但是电路连接复杂,具体如下:
图6  计数及显示模块

读数保持和清除

按照要求保持10s,这就意味着锁存器clk端要在计数完成后保持一段时间的高电平,时间为十秒钟。然后马上清零,用到两个单稳态触发器,可以使用555时基器件也可以用74ls123单稳态触发器,我利用了秒信号触发器部分,再连一个74ls160,虽然是9s但是问题不大,尽可能保持电路的简洁。
74ls160进位端输出的高电平置反之后给到74ls123,通过查阅资料,得知单稳态触发器有一段时间很短的暂稳态。因为我需要的是低电平清零信号,所以暂稳态是低电平,而稳态情况下是高电平,正好符合计数的74ls161和74ls160清零端的工作要求,另外我还用switch开关设置了手动清零。(P.S 当然有些清零端是多种情况下清零的,要用到与门或门非门,但是都是基本逻辑,这里不一一赘述)
值得一提的是,74ls273锁存器的工作原理是清零端MR为低电平清零,而锁存需要MR置高电平的情况下clk为高电平,所以要做到读书保持,需要在阀门关闭的瞬间置高电平来满足锁存需要。我想到了状态机,还是使用74ls74,将D端直接接高电平,在清零信号没来之前,只要计数一终止,就将高电平送入锁存端并保持不变,等到单稳态触发器出现暂稳态,所有计数器清零了,D触发器和锁存器才清零,这样就达到了读数保持和清零的功能,具体电路图如下:
图7  读数保持和清除

总结

本电路由于电脑硬件设备的限制,随着频率升高误差会越来越大,程序也越来越卡,1s的周期可能要很长时间才会发生跳变,但是低频段误差不大。毕竟这是简易测频计,精度没办法保证。本文仅供参考,电路还有很多可以优化的方法,不要被一种方法限死。

如果有疏漏或者错误的地方还请指正,如果能够帮助到你,请给我点个赞。
祝各位学业有成。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

超详细!基于Proteus的简易测频计实现(数字电路课程设计) 的相关文章

  • 【课内学习】数字电路Flip-Flop

    本文仅供博主自己复习使用 xff0c 因此只记录了自己容易忘记的知识点 xff0c 并非成体系的整理 Latches and Flip Flops S R latch Why do we need this stupid drive equ
  • 101序列检测器设计

    101序列检测电路设计 该题对于和我一样即将参加研究生考试的考生来说十分重要 在2014年山东大学研究生入学考试数字电路831和2018年山东大学研究生入学考试数字电路906的试卷中均考到该题 这说明我们要对数据序列检测电路高度重视 设计心
  • 组合逻辑电路——编码器

    组合逻辑电路 编码器 概念 编码的概念 在数字系统中 常需要将有特定意义的信息编成二进制代码 这一过程称为编码 编码器 实现编码的数字电路被称为编码器 二进制编码器 这里我们采用与非门来设计二进制编码器 二进制编码器输出端数量不定 可以根据
  • Timing Borrow的理解

    在集成电路设计中 静态时序分析 Static Timing Analysis STA 是一种常用的验证方法 用于确保芯片在运行时的时序约束得到满足 在STA分析过程中 Timing Borrow是一种时序收敛技术 即在某些情况下 可以借用下
  • 7种PCB走线方式

    01电源布局布线相关 数字电路很多时候需要的电流是不连续的 所以对一些高速器件就会产生浪涌电流 如果电源走线很长 则由于浪涌电流的存在进而会导致高频噪声 而此高频噪声会引入到其他信号中去 而在高速电路中必然会存在寄生电感和寄生电阻以及寄生电
  • 超详细!基于Proteus的简易测频计实现(数字电路课程设计)

    本文阐述基于Proteus 7 8的简易测频计电路的实现 附具体电路的工程文件下载 工程文件下载链接 设计要求 闸门时间1S 10S可选 读数保持时间10秒 可选 四位数字显示 范围000 1 9999 Hz 能够自动进行下一次测量 设计方
  • 数字电路设计之加法器的实现

    今天在看博客的时候看到有一种新颖的加法器写法 这和之前的超前进位加法器 二进制加法器不同 这个加法器应用了循环 我觉得应该会综合出来一个很差的东西 然后我试了一下 代码 module adder x y cin sum cout param
  • 数字电路实验(02)小规模组合逻辑电路实验1:交通灯状态

    数字电路实验 02 小规模组合逻辑电路实验1 交通灯状态 2020 5 11 一 实验要求 1 1 实验目的 1 认识解决实际组合逻辑问题的一般方法和过程 2 熟悉基本逻辑门的使用 1 2 实验器材 1 2输入与门 2 3输入与门 3 4输
  • verilog中带符号数据的赋值问题(记录)

    记录今日新发现 关于带符号数据的赋值问题 在组合逻辑中 等号两端数据type类型要相同 即同为signed型或unsigned型 在时序电路中 等号两端数据type类型可以不同 signed或unsigned都可以 wire 1 0 a b
  • CH1-数字逻辑基础

    文章目录 一 数制和码制 1 1 数字量和模拟量 1 2 数制和码制 一 数制 二 数制转换 三 码制 二 逻辑代数中的基本运算 与门 或门 非门 与非门 或非门 与或非门 异或门 同或门 三 基本和常用公式 3 1 基本公式 3 2 常用
  • chisel多时钟域设计(注释)

    在数字电路中免不了用到多时钟域设计 尤其是设计异步FIFO这样的同步元件 在Verilog里 多时钟域的设计很简单 只需声明多个时钟端口 然后不同的always语句块根据需要选择不同的时钟作为敏感变量即可 在Chisel里 则相对复杂一些
  • 试用74LS161和必要的门电路实现11进制计数器(要求用同步置数法实现)

    题目要求实现11进制计数器 则电路共有11个有效状态 我们可以选择0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010这11个状态作为电路的有效状态 按照上述状态选择方式 应向下图示接
  • 竞争与冒险

    竞争与冒险 文章目录 1 竞争与冒险产生原因 2 判断电路是否存在竞争 冒险现象 3 消除竞争与冒险 1 竞争与冒险产生原因 观察以下门电路 Gate1为 非门 Gate2为 与门 实现了逻辑 F A A
  • 74160同步置数法解析(以接成同步八进制计数器为例)

    我们先来看一下电路逻辑图 从中提取核心信息 将QD QC QB QA接成0010是为了配合LOAD引脚使用 以将74160的状态置为0010 计数器的最大状态为1001 当74160到达1001时 通过7400N与非门将LOAD引脚置为0
  • STM32 USB DP/DM内置的上下拉电阻阻值

    根据USB协议 工作在主机模式 USB DP DM下拉到GND 工作在设备模式 上拉到VCC DP上拉表示高全速设备 DM上拉表示低速设备 STM32在Device模式只支持高速或全速 图片来源于 STM32F407数据手册 红色为示意 实
  • 脉冲触发器(JK触发器)

    1 一般脉冲触发器 电路结构 将边沿触发器的两个电平触发D触发器换成电平触发的SR触发器 工作原理 即 上升沿 CLK由0 1时 CLK 由1 0 FF1由 锁存 正常工作 FF2由正常工作 锁存 Q1由锁存时的不变 可随S R变化 Q保持
  • 小学期-中期总结报告

    实训中期总结报告 一 人文 本次实训采取讲练结合的方式 四次讲座分别介绍了实训整体要求安排 开发环境与流程 实验板的硬件电路 单片机原理 随着进度循序渐进 在实践方面 参观贴片整体流程 自己动手焊接电路板 下载实例进行学习 各个案例按照I
  • 芯片后端开发基础知识(二)

    目录 1 静态时序分析 Static Timing Analysis 2 波形的压摆 Slew 3 信号偏斜 Skew 4 时序路径 Clock Path 5 时序弧 Timing Arc 6 时钟域 Clock Domain 7 工作环境
  • 数字系统的信息表示

    数字系统的信息表示 1 什么是信息 2 数字系统是如何表示一个连续值的信息 3 使用数字信号的优势 4 将模拟信号表示成数字信号形式过程 5 为什么数字系统要采用二进制 6 噪声容限 1 什么是信息 信息是对物质世界与人类社会中存在的各种各
  • 输入延时(Input Delay)与输出延时(Output Delay)

    一 设置输入延时 Input Delay 1 不同的路径需要使用不同的约束 2 输入延时的定义 由下图可以看出Input Delay是以上游芯片的时钟发送沿为参考 上游的输出数据到达FPGA的外部输入端口之间的延迟 输入延迟 input d

随机推荐

  • VMware虚拟机官网下载安装,虚拟机中安装Linux系统CentOS7(图文详解)

    虚拟机的安装下载和用法 第一章 VMware下载 第二章 以VMware12为例安装虚拟机 第三章 虚拟机安装Linux的CentOS7 第四章 CentOS系统设置 第五章 查看网络是否连接成功 友情提醒 先看文章目录 大致了解文章知识点
  • vue自定义指令

    除了核心功能默认内置的指令 v model 和 v show Vue 也允许注册自定义指令 有的情况下 对普通 DOM 元素进行底层操作 这时候就会用到自定义指令 下面定义了一个v test指令绑定数据name
  • 【Python】函数与模块

    函数的引入和定义 首先我们先来明确为什么Python中引入函数的概念 函数就是一部分代码模块 将这部分写好的代码模块封装成一个函数 这个函数具有你所编写的特定功能 并且以后使用时还可以调用 举个例子 就是一套你总结出来的公式 以后需要时还可
  • (一) Three.js 简介

    Three js 简介 源目录结构 组件
  • 西门子博途顺序控制车间皮带逆序停止程序

    自动控制要求 如果处于自动档 首先需要按下警示铃按钮 警示铃鸣5S后可以进行启动 如果自动档切到手动档 那么停止所有传送带并且重新鸣铃后可以进行手动测试 按下自动启动按钮后 1 5号传送带 按编号顺序启动 每次间隔五秒 如果启动过程中出现报
  • openGL之API学习(四十一)立方体贴图Cubemap

    基本上说cubemap它包含6个2D纹理 这每个2D纹理是一个立方体 cube 的一个面 也就是说它是一个有贴图的立方体 你可能会奇怪这样的立方体有什么用 为什么费事地把6个独立纹理结合为一个单独的纹理 只使用6个各自独立的不行吗 这是因为
  • Elasticsearch集群部署详解

    文章目录 Elasticsearch功能与特性 Elasticsearch 单节点安装部署 Elasticsearch 集群安装部署 附件 1 安装Java JDK 2 问题一 2 问题二 Elasticsearch功能与特性 1 分布式搜
  • SpringBoot拦截器Interceptor的使用-基础篇

    1 拦截器 Interceptor 简介 1 1 拦截器 Interceptor 介绍 拦截器是Spring中的概念 和过滤器类似 可以对用户请求进行拦截过滤处理 但是相对于过滤器而言 拦截器要的控制更加的细节 拦截器可以在三个地方进行执行
  • API是什么?深入探索编程中的应用接口

    在编程领域 API Application Programming Interface 应用程序编程接口 是指一组定义和规范了软件组件之间交互的方法和协议 它提供了一种编程接口 允许不同的软件系统之间进行通信和交互 API可以被看作是软件组
  • 函数模板与类模板的具体化

    这两天在学习 C primer 这本书时 发现有关函数与类模板的相关内容多且繁琐 而且容易混淆 因此决定写一篇博客 将它们的概念与之间的区别梳理一下 一 函数模板 在 C primer 一书中 函数模板的具体化包括了三个部分 显式具体化 隐
  • CVPR 2021|一个绝妙的想法:在类别不平衡的数据上施展半监督学习

    点击上方 视学算法 选择加 星标 或 置顶 重磅干货 第一时间送达 作者丨kid丶 知乎 已授权 来源丨https zhuanlan zhihu com p 360067653 编辑丨极市平台 CReST A Class Rebalanci
  • elasticsearch 设置seed hosts

    es集群中配置的seed hosts 通过seed hosts provider提供 provider的数据来源有集群配置文件和第三方插件提供 集群配置文件又有两种方式 一种是直接在elasticsearch yml配置文件中通过disco
  • Cocos Creator Android 平台 Facebook 原生登录

    在做海外项目中 经常需要接入Facebook SDK 现将CocosCreator Android 平台 Facebook 登录的接入流程记录下来 以备有需要的朋友做参考 一 准备工作 1 首先在facebook 开发者平台 注册账号 创建
  • MAC系统 WORD 如何调整自动序号的间隔距离

    在MAC big Sur系统中 安装OFFICE 后 遇到WORD排版时 自动序号的间隔距离太远 研究一段时间发现可以用以下方式解决 1 问题界面 二 解决步骤 选中文字后 点击右键 选择 段落 点击 制表符 点击 全部清除 点击 确定 最
  • 最长公共上升子序列(LCIS)

    目录 一 前言 二 最长公共上升子序列 1 问题描述 2 基本思路 1 状态表示 2 状态计算 三 题例 1 上链接 2 基本思路 3 代码 1 python未优化版 2 python优化版 一 前言 对于学计算机的同学来说 学习算法是一件
  • 【DockerCE】使用docker配置和运行HertzBeat

    HertzBeat是一款免Agent的监控平台 拥有强大自定义监控能力 可以对应用服务 中间件 数据库 操作系统 云原生等进行监控 配置监控告警阈值 以及告警通知 邮件 微信 钉钉 飞书 关于这个软件的介绍 我这里就不做过多的介绍了 感兴趣
  • (二)代码好坏判定

    好坏只是笼统的判定 好代码 易扩展 易读 简单 易维护 判断代码的角度 灵活性 flexibility 可扩展性 extensibility 可维护性 maintainability 可读性 readability 可理解性 underst
  • Linux多进程编程

    fork系统调用 include
  • scrapy爬虫的搭建过程(实战篇)

    scrapy爬虫的搭建过程 实战篇 1 爬虫功能 以 http bbs fengniao com forum forum 125 1 lastpost html 为起始页 爬取前十页的信息 包括文章的标题 链接地址和图片地址 保存到mong
  • 超详细!基于Proteus的简易测频计实现(数字电路课程设计)

    本文阐述基于Proteus 7 8的简易测频计电路的实现 附具体电路的工程文件下载 工程文件下载链接 设计要求 闸门时间1S 10S可选 读数保持时间10秒 可选 四位数字显示 范围000 1 9999 Hz 能够自动进行下一次测量 设计方