verilog开发调试入门

2023-11-03

verilog开发调试入门


日常踩坑,记录调试经验,希望帮到初学者


*2022/09/26

非阻塞赋值

注意在时序电路内部使用 <=

仿真波形不动

检查代码无误后,考虑分频间隔过大,仿真长度不够(憨憨落泪

上板

生成比特流失败。遇如下警告:

[Constraints 18-5210] No constraints selected for write.

这是vivado部分版本的特有问题,没有很明确的解决方案。移步官方解答:
73510 - Constraints 18-5210 No constraints selected for write.

遇如下报错:

[DRC UCIO-1] Unconstrained Logical Port: [m] out of [n] logical ports have no user assigned specific location constraint (LOC). 

检查后发现引脚名写错了,如一颗LED标号为YLD1(E22),则应按括号为准。
这里我把引脚调好之后warning就消失了。

编程FPGA后发现电路仍未按照预期运行,流水灯卡住不动,复位没反应,原来是把高低电平写反了。
解除以上问题后,电路运行正常。


*2022/10/13

仿真失败

[VRFC 10-3180] cannot find port <portname> on this module

一般是引脚没有绑好,如写错名称或导入的IP核有重名引脚。

[USF-XSim-62] 'elaborate' step failed with error(s) ...

模块名可能写错辣

[VRFC 10-2939] <specifier> is an unknown type

这是一个Syntax error,一般是中文字符等,作者报错因少打了一个分号。


*2022/10/15

Top module setting lost

注意调整代码后重设主模块


the next…

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

verilog开发调试入门 的相关文章

  • FPGA零基础学习之Vivado-ROM使用教程

    FPGA零基础学习之Vivado ROM使用教程 本系列将带来FPGA的系统性学习 从最基本的数字电路基础开始 最详细操作步骤 最直白的言语描述 手把手的 傻瓜式 讲解 让电子 信息 通信类专业学生 初入职场小白及打算进阶提升的职业开发者都
  • Quartus II 安装

    本次介绍使用的 Quartus 版本为 10 1 目前 Quartus II 官网已经没有 13 1 以下版本的安装包 大家可以安装 13 1 以上版本的软件 功能都是大同小异 下载地址 FPGA Software Download Cen
  • STM32【复习串口】

    串口复习加深理解笔记 杂谈 USART FLAG TXE发送缓冲区空标志 说明可以往数据寄存器写入数据了 但并不代码数据发送完成了 USART FLAG TC发送完成标志 这个才是代表USART在缓冲区的数据发送完成了 即从机接收到了数据
  • modelsim 关联 notepad++

    modelsim 控制窗口敲入 1 proc external editor filename linenumber exec I notepad notepad exe filename 2 set PrefSource altEdito
  • J-Link仿真器与JTAG和SWD下载与接线

    目录 1 JTAG 1 1JTAG今天被用来主要的三大功能 1 2JTAG引脚 1 3可选引脚 2 SWD 2 1 SWD引脚 2 2 可选择引脚 2 3 JTag和SWD模式引脚定义 3 J Link仿真器 4 IAR与MDK配置两种下载
  • DEBUG:Generate Bitstream失败

    问题 约束失败 解决 确保IO初始化引脚正确 和选择合适的电平
  • 手把手教你Modelsim仿真【2020.4版本】

    首先新建一个文件夹 test5 打开Modelsim 依次选择 File gt Change Directory 把目录选择到创建的 test5 文件夹 创建库 依次选择 File gt New gt Library 一般我们选择第三个 库
  • 关于Keil中Memory中观察不到数据变化的问题以及启动文件栈的初始化

    关于Keil中Memory中观察不到数据变化的问题 在KEIL中观察Memory数据变化 一定要记得只能在RAM地址或ROM之内观察 如下图所示 RAM的地址设置在地址为0x20000000开始的地方 大小为0x20000 因此只有在这个范
  • 实验1-FPGA编程入门

    文章目录 一 认识全加器 二 输入原理图实现1位加法器 一 半加器原理图输入 二 全加器原理图输入 三 Verilog语言实现全加器 四 总结 五 资料参考 一 认识全加器 一 半加器 1 逻辑分析 如下图所示 半加器只有两个输入和两个输出
  • 小梅哥Xilinx FPGA学习笔记9——语法(阻塞与非阻塞赋值)

    阻塞赋值与非阻塞赋值详解 注意 阻塞赋值 1 设计文件 2 激励文件 3 原理图 4 仿真图 非阻塞赋值 1 设计文件 2 激励文件 3 原理图 4 仿真图 注意 阻塞赋值与非阻塞赋值 只有在时序逻辑中才有 不是阻塞赋值 也不是非阻塞赋值
  • FPGA提示产生latch的报错

    在fpga的设计中有时会遇到 latch 的报错 1 latch是什么 Latch 就是锁存器 是一种在异步电路系统中 对输入信号电平敏感的单元 用来存储信息 锁存器在数据锁存使能时 数据被锁存 输入信号不起作用 这违背了组合逻辑中输出随输
  • FPGA硬件工程师Verilog面试题(基础篇二)

    作者简介 大家好我是 嵌入式基地 是一名嵌入式工程师 希望一起努力 一起进步 个人主页 嵌入式基地 系列专栏 FPGA Verilog 习题专栏 微信公众号 嵌入式基地 FPGA硬件工程师Verilog面试题 二 习题一 多功能数据处理器
  • 关于xilinx BRAM IP的延迟以及流程

    关于RAM IP的延迟 1 选择了output registers 可以在RAM输出端口添加register 也可以在core的输出添加 在primitives添加 降低clock to out到primitive的延迟 在core添加re
  • Verilog HDL——Modelsim仿真

    常用testbench语法 finish 和 stop finish任务用于终止仿真并跳出仿真器 stop任务则用于中止仿真 timescale time unit time precision time unit指定计时和延时的测量单位
  • FPG—VGA显示器字符显示(附代码)

    目录 1 实操 1 1 字符取模 1 2 顶层模块 1 3 图像数据生成模块 1 3 1 模块框图 1 3 2 波形图绘制 1 3 3 代码编写 1 3 4 仿真验证 2 总结 本例程大部分与VGA显示驱动内容相同 只是显示部分改变了 故此
  • 使用七牛云进行文件上传

    目录 一 七牛云入门测试 1 注册七牛云账号 完成后选择对象存储 2 在里面创建空间 一个空间相当于一个文件夹 就是将对象上传到的地方 3 查看个人秘钥 注册完成账号后 会有一个秘钥 上传文件的时候进行授权和认证 4 文件上传测试 二 封装
  • 【FPGA】通俗理解从VGA显示到HDMI显示

    注 大部分参考内容来自 征途Pro FPGA Verilog开发实战指南 基于Altera EP4CE10 2021 7 10 上 贴个下载地址 野火FPGA Altera EP4CE10征途开发板 核心板 野火产品资料下载中心 文档 hd
  • Matlab图像处理系列——图像复原之噪声模型仿真

    微信公众号上线 搜索公众号 小灰灰的FPGA 关注可获取相关源码 定期更新有关FPGA的项目以及开源项目源码 包括但不限于各类检测芯片驱动 低速接口驱动 高速接口驱动 数据信号处理 图像处理以及AXI总线等 本节目录 一 图像复原的模型 二
  • MINI-UTDE 10 BASE-T 集成控制器

    MINI UTDE 10 BASE T 集成控制器 MINI UTDE 10 BASE T 拥有多达三个本地I O板和远程I OS总线通信 为用户提供了一系列生产单元功能的单一控制点 包括诸如夹头 反馈器和辅助机器等外围生产设备 支持所有主
  • ESP10B 锁定连接器

    ESP10B 锁定连接器 ESP10B 电机新增内容包括双极型号标准 NEMA 尺寸 17 23 和 34 的步进电机现在包括输出扭矩范围从 61 盎司英寸到 1291 盎司英寸的双极型号 该电机配有带锁定连接器的尾缆 可轻松连接 每转可步

随机推荐

  • Qt 读写xxx.ini配置文件

    1 配置文件 ini 请注意 我们所讨论的是项目中的配置文件 它是整个项目共用的 所以它要有一个项目使用的文件名 其后缀是 ini 例如 端口配置 ini ini文件格式 INI文件由节 键 值组成 节 section 参数 键 值 nam
  • 卷积神经网络手写体识别

    CNN 背景 卷积 LeNet网络结构 C1 S2 C3 S4 C5 F6 输出 数据集 代码及运行结果 测试 CNN 1995年 Yann LeCun 与Yoshua Bengio 提出了convolutional neural netw
  • 编程每日一题_C程序设计_i++ 与 ++i

    描述 区分 i 与 i 之间差异 代码示例1 include
  • Leetcode1402.做菜顺序——逆序贪心

    文章目录 引入 题解 引入 在本周的双周赛中 有了这么一道题 一个厨师收集了他 n 道菜的满意程度 satisfaction 这个厨师做出每道菜的时间都是 1 单位时间 一道菜的 喜爱时间 系数定义为烹饪这道菜以及之前每道菜所花费的时间乘以
  • javascript 判读字符串是否为数字

    javascript在通过parseIn或parseFloat将字符串转化为数字的过程中 如果字符串中包含有非数字 那么将会返回NaN 参考下面代码 parseInt Hello 10 return NAN parseInt 110 10
  • 算法模型部署- Docker

    一 什么是docker Docker是一个虚拟环境容器 可以将你本地开发开发环境 代码 配置文件等一并打包到一个容器中 然后发布到任意平台 部署到你想部署到的任意服务器中 二 模型部署为什么要使用docker 假设将本地项目部署到服务器中
  • mysql-字段设置Default值问题

    1 表中的shState字段设置了默认值0 可是新增的时候没有起作用 可能是因为Not Null没有打勾引起的 2 勾选后还是不行 新增报错 3 百度了下 原来是要设置int这种数值类型才会起作用 字符型不行 4 所以改成int类型 测试O
  • 机器学习——支持向量机学习

    支持向量机 Support Vector Machine SVM 不仅具有坚实的统计学理论基础 还可以很好地应用于高维数据 避免维度灾难问题 已经成为一种倍受关注的机器学习分类技术 为了解释SVM的基本思想 我们首先介绍一下最大边缘超平面
  • mysql的判断更新_mysql判断记录是否存在,存在则更新,不存在则插入

    向数据库插入记录时 有时会有这种需求 当符合某种条件的数据存在时 去修改它 不存在时 则新增 也就是saveOrUpdate操作 这种控制可以放在业务层 也可以放在数据库层 大多数数据库都支持这种需求 如Oracle的merge语句 再如本
  • 戴尔服务器调节风扇转速

    开机F10进入 Lifecycle 选择系统设置 高级硬件设置 选择iDARC设置 散热 散热选择最大性能 其余按需选择 点击 返回 完成 保存更改 一路点完成 然后重启
  • 时序预测

    时序预测 MATLAB实现IWOA BiLSTM和BiLSTM时间序列预测 改进的鲸鱼算法优化双向长短期记忆神经网络 目录 时序预测 MATLAB实现IWOA BiLSTM和BiLSTM时间序列预测 改进的鲸鱼算法优化双向长短期记忆神经网络
  • Go语言面试题--进阶提升(6)

    文章目录 1 下面的代码输出什么 2 下面的代码输出什么 3 下面的代码输出什么 4 下面的代码输出什么 5 下面代码有什么问题吗 6 下面代码输出什么 请说明 1 下面的代码输出什么 type T struct n int func ma
  • Android设备的序列号与ro.serialno

    Android设备的序列号是通过获取Property ro serialno 的值取得的 ro serialno 的值一般来源于内核启动参数中的 ro boot serialno 在rk3368 Android6 0中 uboot读取idb
  • 微信小程序项目刚建好编译就报错

    出现的错误如下 1 Cannot delete property WeixinJSBridge 2 Argument 0 must be a buffer source or a WebAssembly Module object 出现这个
  • 前端 阿拉伯数字不换行

    对于一般的div 自要设置了width 如果容器里面的文字过多 则文章就会自动换行 但是如果输入连续的英文字符 则设置的width 不会生效 容器会被撑开 比如 一般div超过宽度能换行 对于div p等块级元素 正常文字的换行 亚洲文字和
  • 挖矿病毒攻击的排查处置手册

    一 背景 在用户不知情或未经允许的情况下 占用系统资源和网络资源进行挖矿 影响用户的网络和资源 从而获取虚拟币牟利 为了帮助应对恶意挖矿程序攻击 发现和清除恶意挖矿程序 防护和避免感染恶意挖矿程序 整理了如下针对挖矿活动相关的现状分析和检测
  • 【FPGA基础篇】底层结构组成

    文章目录 前言 CPU和DSP FPGA ASIC对比 FPGA和CPLD比较 FPGA基础 IOB 输入输出单元 CLB 可编程逻辑模块 LUT 查找表 MUX 选择器 复用器 Carry Chain 进位链 Flip Flop 触发器
  • SHELL 脚本定期删除日志文件(日志定期清理)

    假设我们的应用每天会产生一个日志文件 但我们并没有对日志文件做任何归档处理 久而久之日积月累 就会将磁盘空间占满 从而影响系统的正常运行 分析磁盘空间占用情况 当前磁盘空间占用情况 df h 当前目录文件大小列表 ll lh 文件列表按时间
  • 解决Python的your data either using array.reshape(-1, 1) if your data has a single featur

    今天写关于决策树的一些算法的时候 卧槽 mmp 竟然出现了红色的警示错误 oneRowX 0 0 1 0 1 1 0 0 1 0 newRowX 1 0 0 0 1 1 0 0 1 0 Traceback most recent call
  • verilog开发调试入门

    verilog开发调试入门 日常踩坑 记录调试经验 希望帮到初学者 2022 09 26 非阻塞赋值 注意在时序电路内部使用 lt 仿真波形不动 检查代码无误后 考虑分频间隔过大 仿真长度不够 憨憨落泪 上板 生成比特流失败 遇如下警告 C