chisel黑盒(调用verilog书写的模块)

2023-11-05

因为Chisel的功能相对Verilog来说还不完善,所以设计人员在当前版本下无法实现的功能,就需要用Verilog来实现。在这种情况下,可以使用Chisel的BlackBox功能,它的作用就是向Chisel代码提供了用Verilog设计的电路的接口,使得Chisel层面的代码可以通过模块的端口来进行交互。

一、例化黑盒

如果读者尝试在Chisel的模块里例化另一个模块,然后生成Verilog代码,就会发现端口名字里多了“io_”这样的字眼。很显然,这是因为Chisel要求模块的端口都是由字段“io”来引用的,语法分析脚本在生成Verilog代码时会保留这个端口名前缀。

假设有一个外部的Verilog模块,它的端口列表声明如下:

module Dut ( input [31: 0] a, input clk, input reset, output [3: 0] b );

按照Verilog的语法,它的例化代码应该是这样的:

Dut u0 ( .a(u0_a), .clk(u0_clk), .reset(u0_reset), .b(u0_b) ); 

其中,例化时的名字和连接的线网名是可以任意的,但是模块名“Dut”和端口名“.a”、“.clk”、“.reset”、 “.b”是固定的。

倘若把这个Verilog模块声明成普通的Chisel模块,然后直接例化使用,那么例化的Verilog代码就会变成:

Dut u0 ( .io_a(io_u0_a), .io_clk(io_u0_clk), .io_reset(io_u0_reset), .io_b(io_u0_b) );

也就是说,本来应该是“.a”,变成了“.io_a”。

因为我们要使用的是外部的verilog模块,我们只需要使生成的verilog代码中有例化该模块的部分即可,不需要在chisel模块内部实现功能,于是我们就想是不是在chisel中我们只定义该模块的端口就可以了?

这样做首先在Chisel层面上就不会成功,因为Chisel的编译器不允许模块内部连线为空,不能只有端口声明而没有内部连线的模块。

如果定义Dut类时,不是继承自Module,而是继承自chisel3.BlackBox,则允许只有端口定义,也只需要端口定义。此外,在别的模块里例化黑盒时,编译器不会给黑盒的端口名加上“io_”,连接的线网名变成引用黑盒的变量名(u0)与黑盒端口名(a,clk,reset,b)的组合。例如:

// blackbox.scala
package test
 
import chisel3._
 
class Dut extends BlackBox {
  val io = IO(new Bundle {
    val a = Input(UInt(32.W))
    val clk = Input(Clock())
    val reset = Input(Bool())
    val b = Output(UInt(4.W))  
  })
}
 
class UseDut extends Module {
  val io = IO(new Bundle {
    val toDut_a = Input(UInt(32.W))
    val toDut_b = Output(UInt(4.W))  
  })
 
  val u0 = Module(new Dut)
 
  u0.io.a := io.toDut_a
  u0.io.clk := clock
  u0.io.reset := reset
  io.toDut_b := u0.io.b
}
 
object UseDutTest extends App {
  chisel3.Driver.execute(args, () => new UseDut)
}

它对应生成的Verilog代码为:

// UseDut.v
module UseDut(
  input         clock,
  input         reset,
  input  [31:0] io_toDut_a,
  output [3:0]  io_toDut_b
);
  wire [31:0] u0_a; // @[blackbox.scala 20:18]
  wire  u0_clk; // @[blackbox.scala 20:18]
  wire  u0_reset; // @[blackbox.scala 20:18]
  wire [3:0] u0_b; // @[blackbox.scala 20:18]
  Dut u0 ( // @[blackbox.scala 20:18]
    .a(u0_a),
    .clk(u0_clk),
    .reset(u0_reset),
    .b(u0_b)
  );
  assign io_toDut_b = u0_b; // @[blackbox.scala 25:14]
  assign u0_a = io_toDut_a; // @[blackbox.scala 22:11]
  assign u0_clk = clock; // @[blackbox.scala 23:13]
  assign u0_reset = reset; // @[blackbox.scala 24:15]
endmodule

可以看到,例化黑盒生成的Verilog代码,完全符合Verilog例化模块的语法规则。通过黑盒导入Verilog模块的端口列表给Chisel模块使用,然后把Chisel代码转换成Verilog,把它与导入的Verilog一同传递给EDA工具使用。

BlackBox的构造方法可以接收一个Map[String, Param]类型的参数,这会使得例化外部的Verilog模块时具有配置模块(“#(参数配置)”)的功能

映射的键固定是字符串类型,它对应Verilog里声明的参数名;映射的值对应传入的配置参数,可以是字符串,也可以是整数和浮点数。

虽然值的类型是Param,这是一个Chisel的印章类,但是单例对象chisel3.experimental里定义了相应的隐式转换,可以把BigInt、Int、Long、Double和String转换成对应的Param类型。例如把上例修改成:

...
import chisel3.experimental._
 
class Dut extends BlackBox(Map("DATA_WIDTH" -> 32,
                               "MODE" -> "Sequential",
                               "RESET" -> "Asynchronous")) {
  val io = IO(new Bundle {
    val a = Input(UInt(32.W))
    val clk = Input(Clock())
    val reset = Input(Bool())
    val b = Output(UInt(4.W))  
  })
}
...

对应的Verilog就变成了:

...
  Dut #(.DATA_WIDTH(32), .MODE("Sequential"), .RESET("Asynchronous")) u0 ( // @[blackbox.scala 23:18]
    .a(u0_a),
    .clk(u0_clk),
    .reset(u0_reset),
    .b(u0_b)
  );
...

通过这种方式,借助Verilog把Chisel的功能暂时补齐了。比如UCB发布的Rocket-Chip,就是用黑盒导入异步寄存器,供内部代码使用。

二、复制Verilog文件

1、方式一

chisel3.util包里有一个特质HasBlackBoxResource,如果在黑盒类里混入这个特质,并且在src/main/resources文件夹里有对应的Verilog源文件,那么在Chisel转换成Verilog时,就会把Verilog文件一起复制到目标文件夹。例如:

...
import chisel3.util._
 
class Dut extends BlackBox with HasBlackBoxResource {
  val io = IO(new Bundle {
    val a = Input(UInt(32.W))
    val clk = Input(Clock())
    val reset = Input(Bool())
    val b = Output(UInt(4.W))  
  })
  
  addResource("/dut.v")//不能写成“./dut.v”
}
...

注意,相比一般的黑盒,除了端口列表的声明,还多了一个特质里的addResource方法的调用。方法的入参是Verilog文件的相对地址,即相对src/main/resources的地址(一定注意)。

2、方式二

chisel3.util包里还有一个特质HasBlackBoxPath,如果在黑盒类里混入这个特质,并且在任意文件夹(也即此时Verilog文件不再必须放入src/main/resources路径下)里有对应的Verilog源文件,那么在Chisel转换成Verilog时,就会把Verilog文件一起复制到目标文件夹。注意此时的路径是相对于工程的路径,我们需要提供相对路径或者全路径。例如:

import chisel3.util._
 
class Dut extends BlackBox with HasBlackBoxPath{
  val io = IO(new Bundle {
    val a = Input(UInt(32.W))
    val clk = Input(Clock())
    val reset = Input(Bool())
    val b = Output(UInt(4.W))  
  })
  
  addPath("./src/main/scala/dut.v")
  
  //或者addPath("D:/chisel-examples/src/main/scala/dut.v")
}

三、内联Verilog文件

chisel3.util包里还有一个特质HasBlackBoxInline,混入该特质的黑盒类可以把Verilog代码直接内嵌进去。内嵌的方式是调用特质里的方法“setInline(blackBoxName: String, blackBoxInline: String)”,类似于addResource的用法。这样,目标文件夹里就会生成一个单独的Verilog文件,复制内嵌的代码。该方法适合小型Verilog设计。例如:

...
import chisel3.util._
 
class Dut extends BlackBox with HasBlackBoxInline {
  val io = IO(new Bundle {
    val a = Input(UInt(32.W))
    val clk = Input(Clock())
    val reset = Input(Bool())
    val b = Output(UInt(4.W))  
  })
 
  setInline("dut.v",
            """
            |module dut(input [31:0] a,
            |           input clk,
            |           input reset,
            |           output [3:0] b);
            |  
            |  reg [3:0] b_temp;
            |
            |  always @ (posedge clk, negedge reset)
            |    if(!reset)
            |      b_temp <= 'b0;
            |    else if(a == 'b0)
            |      b_temp <= b_temp + 1'b1
            |
            |  assign b = b_temp;
            |endmodule
            """.stripMargin)
}
...

字符串中的“ | ”表示文件的边界,比如Scala的解释器在换行后的开头就是一根竖线,方法stripMargin用于消除竖线左侧的空格。

调用这个黑盒的模块在转换成Verilog后,目标文件夹里会生成一个“dut.v”文件,内容就是内嵌的Verilog代码。

四、inout端口

Chisel目前只支持在黑盒中引入Verilog的inout端口。Bundle中使用 “Analog(位宽)”声明Analog类型的端口,经过编译后变成Verilog的inout端口。模块里的端口可以声明成Analog类型,但只能用于与黑盒连接,不能在Chisel代码中进行读写,也即只能使用<>或者对每个端口依次赋值进行连接操作

因为是双向端口,所以不需要用Input或Output指明方向,但是可以用Flipped来翻转,也就不会影响整个Bundle的翻转。使用前,要先用“chisel3.experimental._”进行导入。

例如:

// inout.scala
package test
 
import chisel3._
import chisel3.util._
import chisel3.experimental._
 
class InoutIO extends Bundle {
  val a = Analog(16.W)
  val b = Input(UInt(16.W))
  val sel = Input(Bool())
  val c = Output(UInt(16.W))
}
 
class InoutPort extends BlackBox with HasBlackBoxInline {
  val io = IO(new InoutIO)
 
  setInline("InoutPort.v",
    """
    |module InoutPort( inout [15:0] a,
    |                  input [15:0] b,
    |                  input        sel,
    |                  output [15:0] c);
    |  assign a = sel ? 'bz : b;
    |  assign c = sel ? a : 'bz;
    |endmodule
    """.stripMargin)
}
 
class MakeInout extends Module {
  val io = IO(new InoutIO)
 
  val m = Module(new InoutPort)
 
  m.io <> io
}
 
object InoutGen extends App {
  chisel3.Driver.execute(args, () => new MakeInout)
}

对应的Verilog为:

// MakeInout.v
module MakeInout(
  input         clock,
  input         reset,
  inout  [15:0] io_a,
  input  [15:0] io_b,
  input         io_sel,
  output [15:0] io_c
);
  wire [15:0] m_b; // @[inout.scala 32:17]
  wire  m_sel; // @[inout.scala 32:17]
  wire [15:0] m_c; // @[inout.scala 32:17]
  InoutPort m ( // @[inout.scala 32:17]
    .a(io_a),
    .b(m_b),
    .sel(m_sel),
    .c(m_c)
  );
  assign io_c = m_c; // @[inout.scala 34:8]
  assign m_b = io_b; // @[inout.scala 34:8]
  assign m_sel = io_sel; // @[inout.scala 34:8]
endmodule

五、总结

本章介绍了黑盒的用法,其目的在于通过外部的Verilog文件来补充Chisel还没有的功能。除此之外,由于还没有EDA工具直接支持Chisel,比如在开发FPGA项目时,要例化Xilinx或Altera的IP,就需要用到黑盒。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

chisel黑盒(调用verilog书写的模块) 的相关文章

  • verilog模块中的reg和wire有什么区别?

    在verilog模块中我们什么时候应该使用reg以及什么时候应该使用wire 我还注意到有时输出会再次声明为 reg 例如 D 触发器中的 reg Q 我在某处读过这个 过程赋值语句的目标输出必须是 reg 数据类型 什么是程序赋值语句 我
  • 64 位 ALU 输出在 TestBench 波上显示高阻抗

    我必须制作一个 64 位 ALU 它接受 A 和 B 64 位输入 进位输入输入并输出 64 位结果以及 1 位进位输出 还有一个 5 位功能选择 FS 其中 FS 0 控制 B 是否反转 使用 2to1 多路复用器 F 1 对 A 执行相
  • 使用多路复用器进行双向移位

    编辑 仅通过屏幕截图 http prntscr com lv3uqw http prntscr com lv3yhf 和我下面的代码 您仍然可以在这里理解我的目标 以防万一您不想阅读文本 我正在尝试为通用移位寄存器编写 Verilog 代码
  • |变量在verilog中是什么意思?

    我想知道什么assign hd trs detected hd trs match Verilog 中的意思 我最感兴趣的是 hd trs match部分 我知道 表示按位或 但不确定如何解释它之前没有值 它是可理解的 1 还是 0 如果它
  • Verilog 奇怪的仿真结果综合后

    我面临一个奇怪的问题 该代码适用于简单的 ALU 仅将感兴趣的代码粘贴到此处 always posedge clk or posedge rst begin if rst 1 begin mul valid shr 3 b000 end e
  • 如何使用触发器输出作为复位信号的输入

    我在柜台里放了 3D 触发器 一旦达到 5 101 我想将 FF 复位输入设置为高 使用或门 复位为低电平有效 这几乎可以工作 但是 当我最初运行程序时 触发器的 Q 输出都是未知的 因此 最初 或门的复位输入为低电平 但是 因为一开始 Q
  • Verilog、FPGA、统一寄存器的使用

    我有一个问题 关于我正在开发的 AGC SPI 控制器在我看来奇怪的行为 它是用 Verilog 完成的 针对的是 Xilinx Spartan 3e FPGA 该控制器是一个依赖外部输入来启动的 FSM FSM的状态存储在状态寄存器它没有
  • 「Verilog学习笔记」 Johnson Counter

    专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点 刷题网站用的是牛客网 timescale 1ns 1ns module JC counter input clk input rst n output reg 3 0
  • 「Verilog学习笔记」游戏机计费程序

    专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点 刷题网站用的是牛客网 timescale 1ns 1ns module game count input rst n 异位复位信号 低电平有效 input clk 时
  • 凿子3.功能模块Mux4

    我正在按照文档学习 Chisel在 Github 上 https github com ucb bar chisel3 wiki Short 20Users 20Guide 20to 20Chisel 到目前为止 一切都完美无缺 但我还是卡
  • 如何在verilog中逐行读取文本文件?

    我有一个 SREC 文件 它是一个简单的文本文件 我想在 verilog 中逐行读取它 我怎样才能做到这一点 以下读取文件 每个时钟周期 1 行 预期的数据格式是每行一个十进制数 integer data file file handler
  • 将枚举转换为逻辑

    考虑以下模块声明 module DFF d q CLK RESET parameter W 2 input W 1 0 d input CLK input RESET output logic W 1 0 q endmodule 当 d 和
  • 使用双寄存器方法解决亚稳态问题

    为了解决Verilog中不同时钟域引起的亚稳态 采用双寄存器方法 但据我所知 亚稳态的最终输出尚未确定 输出独立于输入 那么 我的问题是如何保证使用双寄存器方法输出的正确性 Thanks 您不能完全确定您避免了亚稳态 正如您所提到的 亚稳态
  • 如何在 Verilog 中综合 While 循环?

    我尝试设计一个 Booth 乘法器 它在所有编译器中运行良好 包括 Modelsim Verilogger Extreme Aldec Active Hdl 和 Xilinx Isim 我知道模拟和综合是两个不同的过程 而且只有少数Veri
  • 对象 <名称> 未声明

    这是我的代码 据我所知 LEDs被定义为 module sevenseg LEDs in output reg 6 0 LEDs input 3 0 in always in begin case in 0 LEDs 7 b1000000
  • 为什么 Verilog 不被视为编程语言? [关闭]

    Closed 这个问题是基于意见的 help closed questions 目前不接受答案 教授在课堂上说学生不应该说他们学会了用Verilog 编程 他说 Verilog 之类的东西不是用来编程的 而是用来设计的 那么 Verilog
  • 在 Verilog 程序中使用连续分配?

    在 Verilog 程序中使用连续赋值是否可能和 或有用 例如 是否有任何理由将assign里面一个always堵塞 例如这段代码 always begin assign data in Data end 此外 是否可以用这种方法生成顺序逻
  • VIM 高亮匹配开始/结束

    我正在尝试找到一个插件 它将突出显示与 Verilog 匹配的开始 结束语句 VIM 可以使用花括号 方括号 但不能使用它的开始 结束 我希望 VIM 突出显示正确的开始到正确的结束 在我看来 最好的选择是使用 matchit 该脚本是 v
  • Verilog 双向握手示例

    我正在完成一个项目 要求是处理器内部功能单元之间的双向握手 我知道它是什么 但是有没有任何 标准 或一个简单的例子 我唯一能想到的就是两个单元之间 当它们之间有一条数据线并且当 X 发送到 Y 时 会给出一个单独的 发送 信号 当 Y 接收
  • Verilog 中如何使用函数?

    在我的 FPGA Verilog 课程中 我的教授只是回顾了函数 他说在函数中 你可以按程序编写代码 然后 当您想要调用该函数时 您可以在always块中调用它 即 程序性地 也可以使用分配语句来调用它 对我来说 如何使用过程代码编写函数

随机推荐

  • Rethink LSTM&GRU

    LSTM 设计思想 姑且不看偏置 W W W 和 U U U 是加权的矩阵 写模型的时候用 nn Linear in dim out dim 就成
  • 02Linux下使用libcurl(C语言)来实现http请求(数据保存至内存)(这里可以让你深入了解realloc函数)

    02Linux下使用libcurl C语言 来实现http请求 数据保存至内存 这里可以让你深入了解realloc函数 其它关于lincurl文章 01Linux下使用libcurl C语言 来实现http请求 数据保存至文件 包括下载li
  • iPad 上如何查看 Safari 页面的 html 源代码

    最近需要解决一个 ipad 上 Safari 浏览器相关的问题 需要查看其中页面的 html 源代码 找了半天 发现浏览器没有提供原生态的功能 最后在网上找到了如下神奇的方式 1 Safari 浏览器定位到你要查看源代码的页面 2 在地址栏
  • Python多进程分片下载远端大文件 - multiprocessing paramiko

    Python多进程分片下载远端大文件 可以按照以下流程设计代码框架 导入需要的模块 首先 导入所需的模块 包括paramiko os和multiprocessing 创建下载函数 创建一个用于分片下载文件的函数 该函数将使用SSH连接到远程
  • 布隆过滤器,原理+案例+代码实现

    概述 什么是布隆过滤器 布隆过滤器 Bloom Filter 是1970年由布隆提出的 它实际上是由一个很长的二进制向量和一系列随意映射函数组成 它是一种基于概率的数据结构 主要用来判断某个元素是否在集合内 它具有运行速度快 时间效率 占用
  • React 中插入图片的三种方式

    使用import 导入 import React Component from react import logo from asset worker png export default class Md extends Componen
  • 【C语言scanf函数用法】

    本节介绍输入函数 scanf 的用法 scanf 和 printf 一样 非常重要 而且用得非常多 所以一定要掌握 概述 scanf 的功能用一句话来概括就是 通过键盘给程序中的变量赋值 该函数的原型为 include
  • 优秀博文-技术栈

    设计模式大牛 https blog csdn net lovelion article category 738450 10 石杉的架构笔记 https juejin im user 5be0588ae51d452b0255727d 程序猿
  • 前端日历控件

    前端日历控件 最简单 使用html自带的type
  • 计算机算法与程序设计 第二章 编程作业

    第二章 编程作业 查看帮助 返回 所有测验 作业和考试都在2020年12月30日23点截止 请及时完成 编程作业题可以多次提交 取最高分作为本题成绩 依照学术诚信条款 我保证此作业是本人独立完成的 温馨提示 1 本次作业属于Online J
  • Echarts

    数据可视化 数据可视化主要目的 借助于图形化手段清晰有效的传达与沟通信息 数据可视化把数据从冰冷的数字转换成图形 揭示蕴含在数据中的规律和道理 Echarts简介 常见的数据可视化库 D3 js 目前 Web 端评价最高的 Javascri
  • 梯度下降法实现线性回归, 实例---预测波士顿房价

    本文先手动实现一个线性回归模型 然后用sklearn的线性回归模型作对比 import pandas as pd df pd read csv house data csv 数据集可到网上下载 波士顿房价 df head Out 1 CRI
  • 【C语言编程】条件编译

    程序满足一定的条件下进行编译 否则不进行编译 目的 有利于程序的可移植性 增加程序的灵活性和通用性 1 宏定义 宏定义 普通的宏定义其实就是我们理解的宏常量 宏定义又称为宏替换 简称 宏 其定义格式如下 define 标识符 字符串 2 条
  • 损失函数loss大总结

    分类任务loss 二分类交叉熵损失sigmoid cross entropy TensorFlow 接口 tf losses sigmoid cross entropy multi class labels logits weights 1
  • C++中stack的用法(超详细,入门必看)

    博主简介 Hello大家好呀 我是陈童学 一个与你一样正在慢慢前行的人 博主主页 陈童学哦 所属专栏 C STL 前言 Hello各位小伙伴们好 欢迎来到本专栏C STL的学习 本专栏旨在帮助大家了解并熟悉使用C 中的STL C 中的STL
  • ssm基于SSM的仓库管理系统的设计与实现97b4r【独家源码】计算机毕业设计问题的解决方案与方法

    本项目包含程序 源码 数据库 LW 调试部署环境 文末可获取一份本项目的java源码和数据库参考 系统的选题背景和意义 选题背景 仓库管理是企业物流管理中的重要环节 涉及到货物的入库 出库 存储和盘点等多个方面 然而 传统的仓库管理方式存在
  • 从39个kaggle竞赛中总结出来的图像分割的Tips和Tricks

    作者丨Derrick Mwiti 来源丨AI公园 编辑丨极市平台 导读 作者参加了39个Kaggle比赛 按照整个比赛的顺序 总结了赛前数据的处理 模型的训练 以及后处理等可以助力大家的tips和tricks 非常多的技巧和经验 现在全部分
  • 香港翡翠台在线直播

    mms 222 170 73 87 tvb001 是TVB HD mms 222 170 73 87 tvb002 是TVB NEWS mms 222 170 73 87 tvb003 是TVB 原版 mms 222 170 73 87 t
  • mysql auto reconnect_MySql的autoReconnect与autoReconnectForPools属性对比

    autoReconnect会向客户端抛出一个SQLException 但会尝试重新建立连接 autoReconnectForPools将在每次执行SQL之前尝试ping服务器 autoReconnect和autoReconnectForPo
  • chisel黑盒(调用verilog书写的模块)

    因为Chisel的功能相对Verilog来说还不完善 所以设计人员在当前版本下无法实现的功能 就需要用Verilog来实现 在这种情况下 可以使用Chisel的BlackBox功能 它的作用就是向Chisel代码提供了用Verilog设计的