|变量在verilog中是什么意思?

2023-12-06

我想知道什么assign hd_trs_detected = |hd_trs_match;Verilog 中的意思。我最感兴趣的是|hd_trs_match部分。我知道|表示按位或,但不确定如何解释它之前没有值|。它是可理解的“1”还是“0”?如果它是“0”,那么使用它有什么好处|hd_trs_match与只是hd_trs_match as hd_trs_detected永远都会是无论什么hd_trs_match is?或者这本身就是一个明智的操作。


在这种用法中|是归约运算符,而不是按位运算符。归约运算符的描述见归约运算符部分 LRM 的所有版本。从 Verilog 的原始 IEEE Std 1364-1995 § 4.1.11 到最新的 SystemVerilogIEEE 标准 1800-2012在第 11.4.9 节中。这是摘录自IEEE 标准 1800-2012§ 11.4.9:

The 一元归约运算符应对单个操作数执行按位运算以产生单位结果。为了减少和, 减少或, and 减少异或运算符,运算的第一步应使用表 11-16 到表 11-18 在操作数的第一位和第二位之间应用运算符。第二步和后续步骤应使用相同的逻辑表在前一步的 1 位结果和操作数的下一位之间应用运算符。 ...

按位运算符和归约运算符使用相同的字符(例如:|, &, ^)。要区分两者,请检查运算符左侧的值。如果有值,则按位进行,如果没有,则进行归约。

您可以在同一表达式中混合按位和归约。例如out = &in1 ^ |in2;哪里的& and |是归约运算符(左侧没有值)和^是逐位的(结果&in1是左值)。然而,为了更具人类可读性,我们重新开始使用括号使归约运算在视觉上更加明确:out = (&in1) ^ (|in2);

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

|变量在verilog中是什么意思? 的相关文章

  • C++:使用“.”表达式和函数调用上的运算符

    我想知道使用成员运算符是否是一个好的做法 像这样 someVector segment getFirst segment getSecond normalize normalCCW 只是这样做是为了展示我想知道的两件不同的事情 即如果使用
  • 如何在 Verilog 中综合 While 循环?

    我尝试设计一个 Booth 乘法器 它在所有编译器中运行良好 包括 Modelsim Verilogger Extreme Aldec Active Hdl 和 Xilinx Isim 我知道模拟和综合是两个不同的过程 而且只有少数Veri
  • verilog $readmemh 对于 50x50 像素 RGB 图像花费太多时间

    我正在尝试编译用于 FPGA 编程的 verilog 代码 我将在其中实现 VGA 应用程序 我使用 QuartusII 和 Altera 我正在尝试正确使用 readmemh 来逐像素获取图片 现在 我已经使用 matlab 将图片转换为
  • 始终块中的 Veriloggenerate/genvar

    我试图让一个模块通过 ISE 12 4 中的语法检查 但它给了我一个我不明白的错误 首先是代码片段 parameter ROWBITS 4 reg ROWBITS 1 0 temp genvar c generate always pose
  • x86 asm 中 NOT 指令的简单示例

    有人能解释一下 x86 汇编器中 NOT 指令的具体作用吗 在我所知道的编程语言中 NOT 用于检查特定状态是否不正确 例如 if Isset var 但在汇编器中 运算符似乎做了其他事情 我不明白操作数到底是做什么用的 有人可以用一个简单
  • 对象 <名称> 未声明

    这是我的代码 据我所知 LEDs被定义为 module sevenseg LEDs in output reg 6 0 LEDs input 3 0 in always in begin case in 0 LEDs 7 b1000000
  • 条件语句如何与按位运算符一起使用?

    我试图理解 if 条件如何与按位运算符一起使用 检查数字是偶数还是奇数的方法可以通过以下方式完成 include
  • 逻辑右移、算术右移、循环右移的区别

    我一直在阅读经典的 黑客之乐 但我很难理解逻辑右移 算术右移和右旋转之间的区别 如果疑问看起来太简单 请原谅 首先记住机器字的大小是固定的 说 4 您的输入是 a b c d 然后将所有内容向左推一位即可得到 b c d X 问题 X 应该
  • 标识符必须用端口模式声明:busy。 (Verilog)

    我有如下所示的 Verilog 代码 当我编译它时 我收到以下错误消息 并且代码的第一行突出显示 Error 标识符必须用端口模式声明 busy Code module main clk rst start busy ready cnt s
  • 编译器未创建模板化 ostream << 运算符

    我有一个类 在头部定义为 template
  • 类型的无效操作数 - C++

    我有一个名为 ThreeDigits 的 C 代码类 我这样重载了 操作数 ThreeDigits ThreeDigits operator const ThreeDigits number const double result getN
  • Scala 的 '::' 运算符,它是如何工作的?

    在 Scala 中 我可以创建一个案例类 case class Foo x Int 然后将其放入列表中 如下所示 List Foo 42 现在 这里没什么奇怪的了 以下内容对我来说很奇怪 运营商 是列表上的函数 对吗 对于 Scala 中任
  • 在 Verilog 程序中使用连续分配?

    在 Verilog 程序中使用连续赋值是否可能和 或有用 例如 是否有任何理由将assign里面一个always堵塞 例如这段代码 always begin assign data in Data end 此外 是否可以用这种方法生成顺序逻
  • 如果可能的话,如何在 C 中定义 2 位数字?

    对于我的大学过程 我正在模拟一个称为随机顺序吸附的过程 我必须做的一件事是随机地将正方形 不能重叠 放置到格子上 直到没有更多空间为止 重复该过程几次以找到平均 干扰 覆盖率 基本上我正在对一个大的整数数组执行操作 其中存在 3 个可能的值
  • R:用 gsub 替换“+”字符

    这个问题似乎完全微不足道 但我不明白为什么它不起作用 我只是想将涉及 运算符的字符变量替换为不包括 运算符的单个值 由于某种原因 gsub 和 sub 函数替换了数值但保留了运算符 关于如何克服这个问题有任何提示吗 非常感谢 data lt
  • reg 声明中的位顺序

    如果我需要使用 4 个 8 位数字 我会声明以下 reg reg 7 0 numbers 3 0 我对第一个和第二个声明 7 0 和 3 0 之间的区别感到很困惑 他们应该按什么顺序来 第一个是保留数字的大小 而第二个是保留数字的数量 还是
  • 使用正则表达式进行 Verilog 端口映射

    我有一个很长的端口映射 我想在其中替换一堆 SignalName i with SignalName SignalName i 我想我可以用正则表达式轻松地做到这一点 但我无法弄清楚如何做到这一点 有任何想法吗 假设 SignalData
  • 如何在python中获得逻辑正确的二进制移位

    正如标题所示 JavaScript 中有一个特定的运算符 gt gt gt 例如 在 JavaScript 中我们将得到以下结果 1000 gt gt gt 3 536870787 1000 gt gt 3 125 1000 gt gt g
  • 系统 verilog 中没有类型的输入

    我在一个系统 verilog 代码的输入和输出的示例中遇到过module没有说明它们的类型 例如logic wire module mat to stream input 2 0 2 0 2 0 a b input newdata inpu
  • 使用 std::set 时重载运算符<

    这是我第一次使用 std set 容器 并且我对操作符 std less 遇到了问题 我声明该集合 std set

随机推荐

  • axios请求错误SSL连接错误React JS

    我在 React JS 中有这个发布请求 我需要使用 Rest API 传递文件并接收对 React 的响应 但当我这样做时 我收到错误 用 python 编写的 Rest Api 我对文件做了一些处理 let postR results
  • 使用 PHP POST 到 Web 服务的摘要式身份验证的客户端部分

    我正在尝试 POST 到 Web 服务 非 RESTful 并通过 PHP 获取响应 但是 该 Web 服务需要摘要式身份验证 我一直在网上搜索 发现大多数讨论和文章都是关于相反的方式 向用户请求摘要式身份验证 而不是使用 PHP 进行响应
  • 从 DataTable 填充 MVC Webgrid

    我正在尝试使用 DataTable 填充 MVC Webgrid 该 DataTable 在后面的代码中构建 然后使用 AsEnumerable 扩展方法进行枚举 但是 当我调用 GetHtml 方法时 输出不是我所期望的 它由两列 Has
  • C# 如何创建一组集合

    我想创建一组 int 的 集合 就像是 SortedSet
  • 使用 SqlBulkCopy 是否有比使用 DataTable 更快的方法?

    我将大量记录加载到我的应用程序中 超过 100 万条 并对它们进行大量处理 处理需要它们全部位于内存中 之后 我想将所有 现已修改的 记录转储到一个空表中 加载记录只需要几秒钟 我最终会得到一大堆MyRecord items 保存使用Sql
  • Android 在 WebView 中显示 .Doc 文件?

    在我的应用程序中 我有链接http mymobilece com api api getexammaterials php id 28 我想在 webview 中查看 我尝试使用谷歌文档查看器它工作正常但我需要它而不需要谷歌文档查看器 如何
  • 可以bind()套接字到远程地址吗?

    通过下面的 C 代码快照 我明白了 地址bind 调用绑定到listfd 是运行该服务器程序的本地计算机的逻辑地址 随后 服务器监听listfd同一台机器的插座 struct sockaddr in serv addr listfd soc
  • 使用带有反向引用的Python匹配字符串中的对象

    Python 是否能够使用 Match 对象作为带有反向引用的字符串的输入 例如 match re match ab abcd print re some replace function match is 1 match prints m
  • 将外部 JAR 添加到 NetBeans 中的 Maven 项目

    当我右键单击我的 Maven 项目并选择添加依赖项选项 我在查询中输入外部 jar 的名称 但找不到 如何将外部jar添加到Maven项目中 来自NetBeans 论坛 打开Projects tab 右键单击依赖关系 Select 添加依赖
  • 如何在shiny或flexdashboard中制作用户选择的变量的图表?

    我对 R 还很陌生 我正在尝试组装一个 Flexdashboard 它从用户输入中获取 x 和 y 变量并返回这些值的图表 到目前为止 我可以在下面的代码中使用 ggplotly 生成所需的图表 output scatter lt rend
  • 创建名称中包含句点的 Pandas 系列

    我运行了以下 Python 代码 它创建了一个带有两个 Series 的 Pandas DataFrame a and b 然后尝试创建两个新系列 c and d import pandas as pd df pd DataFrame a
  • 如何在 xamarin studio/monodevelop 中添加 system.core.dll 引用到我的项目

    我正在尝试使用System Linq因为它有一个 未知解析错误 我已经做了一些关于如何做到这一点的调查 我所能找到的只是人们说需要添加它或者他们添加了它 我想知道如何将其添加到我的项目中 以便我可以使用 ToList 函数 如果您正在使用
  • 在 MySQL 中查找重复值

    我有一个带有 varchar 列的表 我想查找此列中具有重复值的所有记录 我可以用来查找重复项的最佳查询是什么 Do a SELECT with a GROUP BY条款 比方说name是您要在其中查找重复项的列 SELECT name C
  • “不包含定义...并且没有扩展方法..”错误

    我有以下错误消息 System Collections Generic Dictionary
  • 推送通知不起作用?

    我多次编辑和修改配置文件 我也在文本编辑器中检查了它 配置文件一切正常 但推送通知仍然不起作用 我收到这个错误 每个人都说这是错误的配置文件 但我的配置文件值与苹果在其文档中所说的相同 我收到此错误 注册时出错 错误 错误域 NSCocoa
  • 远程分支后面的本地分支(拉取、变基、获取、合并)

    如果我在我的分支机构工作 branch1然后我推送一些提交 而我的团队成员也在工作branch1 当我的团队成员推动他的改变时 他现在落后了 他获取我最近的提交并尝试将他的更改与我的更改合并的最简单方法是什么 我们假设他在意识到这个错误之前
  • 如何使用自定义渲染器渲染复合组件?

    我想知道如何通过Java渲染复合组件 我的意思是我有
  • Qt 中如何判断文件是照片还是视频?

    Qt 中如何判断文件是照片还是视频 你问Qt是否可以判断某物是 照片还是视频 这是模棱两可的 但我将其翻译为 如果我有一个文件名 Qt 是否有一个功能可以告诉我该文件是 a 图像文件 b 视频文件还是 c 其他类型的文件 了解文件的类型可以
  • 如何在 Vue 中使用带有 JS switch 语句的动态 tailwind 类并正确传递它们?

    我是 Vue JS 的初学者 我正在尝试创建一个函数来为订单状态分配相应的颜色 我想使用 switch 语句来实现这一点 它将获取订单状态的值并将其传递给 getStatusColour 函数 如下所示 const getStatusCol
  • |变量在verilog中是什么意思?

    我想知道什么assign hd trs detected hd trs match Verilog 中的意思 我最感兴趣的是 hd trs match部分 我知道 表示按位或 但不确定如何解释它之前没有值 它是可理解的 1 还是 0 如果它