硬件系统工程师宝典(16)-----符合EMC的信号走线与回流

2023-11-07

各位同学大家好,欢迎继续做客电子工程学习圈,今天我们继续来讲这本书,硬件系统工程师宝典。上篇我们说到PCB设计中为提高板子的EMC性能,会做滤波设计、地的分割设计、增加屏蔽壳。今天我们来看看板子要符合EMC,信号的走线和回流需要考虑什么。

开槽

从EMC角度,关键信号线优先考虑内层布线;内层布线时,优先考虑无相邻布线层的层;内层布线优先选择地平面做参考平面;确保关键信号走线未跨平面的分割区。

这里我们先来了解下“开槽”是什么,开槽是PCB设计中的一种常见结构,信号走线跨分割区问题也是一种开槽问题。

开槽问题有两种:

1.对通孔过于密集形成的开槽:比如通孔穿过底层或电源层没有电气连接,需要在通孔周围留下电气隔离,隔离环重叠起来形成开槽。

2.对电源/地平面分割造成的开槽:PCB上多种不同的电源或地时,一般会在一个或多个平面上进行电源分割或地分割。不同分割之间就形成开槽。

回流信号

低速情况下,电流沿电阻最低的路径流动,如下图所示:

 低速回流信号沿电阻最低的路径流动

可以看到低速电流从A流向B,其回流信号从地平面返回源端,面电流分布较宽。高速信号的情况下,信号回流路径上电感的作用将超过电阻的作用,高速回流信号将沿阻抗最低的路径流动,如下图所示,回流信号成束状集中在信号线的下方。

​高速回流信号沿阻抗最低的路径流动

跨分割走线会带来很多问题:

1.增大了电流环路面积,加大了环路电感,使输出波形容易振荡

2.增加了辐射干扰,加大了与板上其他电路产生磁场耦合的可能性

3.环路电感上的高频压降构成共模辐射源,通过外接电缆产生共模辐射

4.会破坏平面的开槽微带线或带状线的走线,造成阻抗的不连续,引起严重的信号完整性问题

如下图所示,地平面开槽对信号造成的影响

地平面开槽对信号造成的影响

对于因平面分割造成的开槽问题,其走线及回流路径不能跨分割平面。当跨开槽走线不可避免时,应该进行桥接,沿信号路径方向将地平面连接起来,如下图所示:

当跨分割走线时进行有效的桥接

走线转角

PCB走线进行转角时,有直角走线、45°角走线和圆角走线,如下如所示:

走线转角对比

微带线和带状线

微带线和带状线都是一种在微波通信中广泛使用的传输线。它们的基本结构都是通过在介质基板上沉积一些导电层,再以不同的方式制备出具有导电性的“线条”,从而实现信号传输。它们的主要区别在于,“微带线”通常指的是由一条带状导体和一片与之平行的接地层组成的线路结构,而“带状线”则是指由多个平行的带状导体组成的线路结构。因此,微带线通常在几GHz以下的频段中应用比较广泛,而带状线则在更高频段的应用中占据重要地位。高速信号走线时,PCB上的单根走线是由微带线和带状线组成。如下图所示走线周边的电场分布:

​微带线和带状线电场分布微带线和带状线

在进行走线设计时,除关注信号走线的路径外,还要关注信号参考平面上的信号回流路径。

信号换层

当设计多层PCB时,为满足布线需求,信号要常常变换走线层,信号变换走线层后,对应的参考平面也相应地会发生变化。如下图所示:

​信号通过过孔换层,信号回流路径发生变化

为减少信号的反射,减小信号的EMI辐射,在信号换层的地方要合理添加与信号走线同属性的过孔或在两个不同参考平面之间添加合适的缝隙补偿电容。信号走线换层而对信号回流路径做出的过孔补偿或层面补偿的电容归纳如下:

1.信号换层时,参考平面最好不要变换

2.信号换层变换参考平面的情况下,最好不要改变参考层的网络属性,在换层时如果是地网络,应在距离走线换层过孔50mil距离内合理添加地网络的信号回流过孔或缝隙补偿电容;换层时如果是电源网络,也要对应地添加电源网络的过孔或缝隙补偿电容。

3.信号换层变换参考平面属性变了,应尽量减小两个参考平面之间的距离,以减小信号间阻抗和返回路径上的压降。

4.当换层信号密度大时,在换层的过孔旁边适当多添加几个信号换层的与参考层属性相对应的回流补偿过孔或添加缝隙补偿电容。


另外,整理了一些电子工程类的资料,分享给大家,目前有模拟电路、单片机、C语言、PCB设计、电源相关、FPGA、EMC、物联网、Linux相关学习资料,还有针对大学生的资料包,后续还会有更多资料分享给大家,助力大家学习,成就梦想~关注同名公众号领取资料~

链接也可在往期文章中找(在文章的最后有链接)~

模拟电路设计的九个级别,你是模电几段?

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

硬件系统工程师宝典(16)-----符合EMC的信号走线与回流 的相关文章

  • MS5543单通道、16位、串行通信、高速ADC转换芯片

    产品简述 MS5543 是一款单通道 16 位 串行输入 电压输出的数模 转换器 采用 2 7V 至 5 5V 单电源供电 输出范围为 0V 至 V REF 在输出范围内保证单调性 在温度范围为 40 C 至 85 C 能够提供 1LSB
  • orCAD下设置不同的GND网络

    对 PCB设计 而言 其中最重要 也是最基础的 就是 电源与地GND 列举例子如下 i 首先 对 简单电路 而言 其中的 电源与地GND 只有2个 大部分情况下 其被命名为 VCC 和 GND ii 其次 对 稍复杂电路 而言 其必须对GN
  • 模电基础(2)半导体二极管

    1 二极管的组成 二极管 将PN结封装起来 引出两个电极就构成了半导体二极管 二极管的常见结构包括 点接触型 图a 面接触型 图b 平面型 图c 点接触型 结面积小 不可通过较大的电流 结电容小 工作频率高 面接触型的结面积变大 所允许的电
  • 带你了解锂电池保护板的工作原理

    拆过手机或者平板的用户 应该都注意过 在手机或者平板的锂电池部分 其上端有一块质地较软且被塑料膜包裹起来的电路板 电池大小不同 电路板尺寸也不一样 揭开塑料膜 你会发现 其上布置了很多的元器件 或许会有人问 这块板子究竟有何作用 其实呢 电
  • 蛇形走线的长度受控问题

    目录 序言 分析 结束语 序言 有一次 小编的layout同事问了一个问题 蛇形走线时是否需要控制绕线的长度 小编一时竟难以回答 不是这个问题有多复杂 只是 这个问题不容易量化 解释起来颇费周章 因此 有必要将其单独列为一个话题进行讨论 具
  • 数字万用表的使用

    参考 连3岁小孩子都能看懂的万用表使用方法 地址 https www bilibili com video BV1Gx411z7x2 p 1 vd source cc0e43b449de7e8663ca1f89dd5fea7d 目录 万用表
  • DDR的VTT有源端接和无源端接(slua886a笔记)

    DDR的VTT有源端接和无源端接 slua886a笔记 背景 对于DDR的VTT端接 一直有说法是有源端接可降低功耗 之前一直没仔细理解其中原因 现在找了些相关的资料来介绍和对比有源和无源端接 理解有源端接的优点和降低功耗的原理 主要基于读
  • (电赛电源方向)怎么样从零开始准备全国大学生电子设计竞赛

    提示 文章写完后 目录可以自动生成 如何生成可参考右边的帮助文档 文章目录 前言 一 电赛是什么 二 电源方向是什么 三 该怎么去学习电源方向的知识 1 博主的劝诫 2 硬件该准备些什么 3 软件该准备些什么 总结 前言 我建了一个群 分享
  • 手把手教你Modelsim仿真【2020.4版本】

    首先新建一个文件夹 test5 打开Modelsim 依次选择 File gt Change Directory 把目录选择到创建的 test5 文件夹 创建库 依次选择 File gt New gt Library 一般我们选择第三个 库
  • 霍尔传感器测电机的转速

    霍尔传感器可以用于测量电机的转速 测量原理是通过检测电机旋转时产生的磁场变化来计算转速 具体的测量方法如下 1 在电机旋转的轴上安装一个磁铁 磁铁的北极和南极在轴上相隔一定距离 2 在电机旋转轴的一侧安装一个霍尔传感器 传感器的感应面与磁铁
  • 立创EDA专业版(网页,全在线模式)开源导入立创EDA专业版(PC端,半离线模式)

    我个人从一开始就使用立创EDA专业版的半离线模式 是因为既可以离线画板 又可以在在线的时候使用系统库 但难免完美 就不如将立创EDA专业版 网页 全在线模式 导入立创EDA专业版 PC端 半离线模式 时就很麻烦 下面来说下怎么操作 在立创E
  • PCIe 5.0 规范最新更新及PCIe 5.0测试挑战​

    PCIe 5 0 基础规范 v1 0 在 2019 年年中发布以后 Synopsys 发布了世界上第一款支持 PCIe 5 0 基础规范 v1 0 的 IP 并展示了在其实验室验证发射机 接收机 Tx Rx 性能的环境 Intel 也在 2
  • 【Xilinx DDR3 MIG】Xilinx FPGA DDR3读写实验相关用户接口引脚解释

    目录 DDR3读写实验 实验框图 时钟模块 DDR3读写及LED指示模块 MIG IP核 用户接口解释
  • 【Xilinx Vivado时序分析/约束系列4】FPGA开发时序分析/约束-实验工程上手实操

    目录 建立工程 添加顶层 模块1 模块2 添加约束文件 编辑时钟约束 打开布线设计 代码代表的含义 时序报告 进行时序分析 Summary 包含了汇总的信息量 Source Clock Path 这部分是表示Tclk1的延时细节 Data
  • 西门子300系列基本逻辑编程:手自动选择程序及自定义脉冲模块的使用

    西门子内置脉冲发生器 M0 0 0 1S M0 1 0 2S M0 2 0 4S M0 3 0 5S M0 4 0 8S M0 5 1 0S M0 6 1 6S M0 7 2 0S 案例 手自动选择程序 控制要求 I0 0是手自动选择开关
  • CTLE均衡器的使用问题

    CTLE是一种高速数字通信中很常见的均衡器 有别于其他常用的FFE和DFE等数字滤波器 它是一种模拟滤波器 一般通过传递函数的方式表征 以USB3 1 Gen2的公式举例 在其峰值增益 第一极点和第二极点均为定值的前提下 幅频响应曲线将通过
  • ADS Via Designer 快速建模举例

    如何快速地对设计中的差分过孔进行建模 是layout前仿真中经常遇到的问题 好在目前主流的仿真软件都提供了独立的过孔建模向导 可以很方便地进行操作 本文以ADS提供的Via Designer向导为例 展示如何快速完成过孔的建模操作 以下图所
  • 硬件基础-电容

    电容 本质 电容两端电压不能激变 所以可以起到稳定电压作用 充放电 电容量的大小 想使电容容量大 使用介电常数高的介质 增大极板间的面积 减小极板间的距离 品牌 国外 村田 muRata 松下 PANASONIC 三星 SAMSUNG 太诱
  • allegro画PCB如何更新元件的PCB封装

    allegro画PCB如何更新元件的PCB封装 一 更新单个器件的PCB封装 首先菜单栏选择Place gt Update Symbols 如下图 注意此案例是更新了C0805封装 中更新封装 就将上图第二个红色方框中的勾选即可 二 更新某
  • 如何正确使用RC滤波网络

    众所周知 最有效的滤波电路应靠近噪声源放置 滤波的作用是对噪声电流进行及时有效地阻止和转移 实际设计中 工程师经常使用高的串联阻抗 电阻 电感和铁氧体 阻止电流 并使用低的并联阻抗 电容 转移电流 通常情况下 我们期望滤波器可以对噪声信号衰

随机推荐

  • Anchor-Free based目标检测

    CornerNet 预测左上角和右下角来得到最后的bounding boxes 所以最基本的需要两个feature map表示对应的Corner 称为heatmap top left 和 bottom right 将属于同一个object的
  • C++中template(模版)的使用

    我们在编程的时候总是会遇到一些类似的模块 只是模块中的形参的数据类型不同 导致我们要不断的去更改模块的 这里就涉及到重载的知识点了 例如以下的几种情况 函数1 int max int x int y return x gt y x y 函数
  • 分布式监控系统——Zabbix(3)配置

    文章目录 分布式监控系统 Zabbix 3 配置 一 监控项 1 定义一个不带参数的监控项 2 定义一个带参数的监控项 3 删除监控项 4 监控项存储的值 二 触发器 1 简介 2 触发器表达式 3 定义一个触发器 4 触发器的依赖关系 5
  • 项目4总结:MySQL数据表的检索

    目录 任务4 1 查询时选择列 1 基本查询语句 2 检索所有列 3 检索指定列 任务4 2 查询时选择行 1 查询指定记录 2 带IN关键字的查询 3 带BETWEEN AND的范围查询 4 带LIKE的字符匹配查询 5 查询空值 6 带
  • truncate和delete的区别

    两者都可以删除表中的数据 但是本质上是有区别的 两者使用方法如下 删除表中所有数据 DELETE FROM table name 删除表中部分符合条件的数据 DELETE FROM table name WHERE 删除表中所有数据 TRU
  • Google reCAPTCHA ----------验证码

    现有验证码的产品形态调研范围如下 基本涵盖了比较主流的验证码平台 Google reCAPTCHA 极验 阿里云 腾讯云 点触 网易易盾 螺丝帽 FunCaptcha 产品背景 reCAPTCHA起初是由CMU 卡耐基梅隆大学 设计 将OC
  • pip安装地址--清华源(国内常用镜像源)

    国内常用镜像源 清华大学 https pypi tuna tsinghua edu cn simple 阿里云 http mirrors aliyun com pypi simple 中国科学技术大学 http pypi mirrors u
  • Linux服务器在线扩容内存,redhat linux 在线扩容磁盘空间

    在惠普Proliant服务器上在线扩容Linux ext3类型的文件系统 服务器集成SA5i 内置硬盘 加SA532挂MSA500 划了一个阵列 全部做一个逻辑盘 设备名为 dev cciss c1d0 全部空间建立分区为 dev ccis
  • 箭头函数和普通函数的区别

    箭头函数 1 只有一个参数的时候 参数可以不加小括号 没有参数或2个及以上参数的 必须加上小括号 2 返回语句只有一条的时候可以不写 和return 会自动加上return的 返回多条语句时必须加上 和return 3 箭头函数在返回对象的
  • Mysql添加新用户,并设置数据库权限

    首先进入mysql mysql u root p 然后在数据库中使用 create DATABASE my test db 创建数据库 CREATE USER test user IDENTIFIED BY test pass 创建一个用户
  • 5种很牛的两位数乘法速算方法

    5种很牛的两位数乘法速算方法 第一种 十几乘以任意两位数 公式推导 10 b 10c d 100c 10 bc d bd 10 10c bc d bd 10 10c d bc bd 方法 如果c 1 公式是 10 b 10 d 10 10
  • 16款ChatGPT工具,太炸裂了,收藏!

    因公众号更改推送规则 请点 在看 并加 星标 第一时间获取精彩技术分享 点击关注 互联网架构师公众号 领取架构师全套资料 都在这里 0 2T架构师学习资料干货分 上一篇 ChatGPT研究框架 80页PPT 附下载 大家好 我是互联网架构师
  • ug装配绕轴旋转_UG绘制小吊扇模型,建模装配还能运动起来

    夏天来了 吾思小编来教大家用UG做一个小风扇给夏日带来一丝凉意吧 根据图纸建模 整体建模难度 简单 装配难度 简单 模型效果图如下 一共由五个零件组成 建模过程 先画零件1底座 1 绘制一个直径为120mm的圆拉伸10mm 2 倒斜角5mm
  • Scanner读取文件(按行读入)

    public class ScannerTest public static void main String args 其实 Scanner在使用结束之后 也是需要进行关闭的 调用close方法 try Scanner scanner n
  • 使用注解从AOP面对方法进行逻辑判断

    今天360文8热8凉1汤 不好意思走错了 需求 根据不同的登录设备对xxController层中的方法是否禁用 AOP解释 切面编程 灵魂画图 代码 1 建立一个注解SuiteDisable import java lang annotat
  • Unity获取鼠标滚轮信息

    Unity获取鼠标滚轮信息 UGUI获取滚轮信息 Input获取滚轮信息 UGUI获取滚轮信息 UGUI关于鼠标滚轮信息的获取有一个专门的接口IScrollHandler用于接收滚轮事件 继承该事件之后便需要实现函数OnScroll 如下
  • 【汇编语言05】第4章 第一个程序

    目录 0 前言 1 源程序从编写到执行 1 1 第1步 编写汇编源程序 1 2 第2步 对源程序进行编译连接 1 3 第3步 执行可执行文件中的程序 2 简单源程序示例及其组成 2 1 简单源程序示例 2 2 伪指令 2 3 源程序与程序
  • 什么是 Web 3.0?定义、功能和示例

    计算的新时代已经开始 你一定已经注意到 互联网技术在过去十年中发展得非常迅速 变得越来越精密和复杂 物联网 IoT 3D数字孪生 区块链 增强现实和其他技术已经扩大了机会 以至于旧的Web 2 0术语不再描述新的互联网现实 Web 3 0现
  • 网页计数器

    随着网络大行其道 网页计数器也流行起来 事实上大多数网站均有网页计数器 用以反映该网站的访问量 计数器的来源很广 Frontpage等网页编辑器自带了网页计数器 有的站点也提供免费的计数器下载 其实熟悉了ASP编程后 自己做一个计数器很容易
  • 硬件系统工程师宝典(16)-----符合EMC的信号走线与回流

    各位同学大家好 欢迎继续做客电子工程学习圈 今天我们继续来讲这本书 硬件系统工程师宝典 上篇我们说到PCB设计中为提高板子的EMC性能 会做滤波设计 地的分割设计 增加屏蔽壳 今天我们来看看板子要符合EMC 信号的走线和回流需要考虑什么 开