Verilog功能模块——Uart收发

2023-11-11

摘要

本文分享了一种通用的Uart收发模块,可实现Uart协议所支持的任意波特率,任意位宽数据(5~8),任意校验位(无校验、奇校验、偶校验、1校验、0校验),任意停止位(1、1.5、2)的数据传输。此模块需要搭配FIFO使用,以消除发送端和接收端波特率不一致导致的累计误差。此模块经过多次测试与实际使用验证,可实现连续10万+数据无间隔连续发送与接收无错误。

关键词:UartFIFOVerilog模块校验位停止位


一. 什么是UART

UART,Universal Asynchronous Receiver/Transmitter,通用异步收发器,它将并行数据转换成串行数据进行传输。我们通常说的UART有两种意思,一种是UART协议,是串口通信采用的通用协议;一种是UART串口通信,指的是TTL电平的串口通信。关于UART和串口通信的关系的详细介绍可参考我的另一篇博客:

串口通信简介——发展历史与基本概念_徐晓康的博客


二. UART协议详解

UART协议由三根线组成,Tx,Rx,Gnd即发送、接收与地,不包含时钟线,属于全双工异步串行通信协议。

UART协议的波特率,Buad,表征数据传输的速率,因为UART协议用3.3V/5V表示逻辑1,用0V表示逻辑0,只有两个有效电平,所以UART协议的波特率与比特率是相等的。这部分属于数据通信的基本概念,可参考我的另一篇博客:数据通信的基本概念_徐晓康的博客

需要注意的是, UART协议的数据传输双方需要预先约定好使用相同的波特率,这样发送端发出的数据才能被接收端正确出来。

UART协议的时序图:

空闲位:高电平,表示此刻Tx线或Rx线处于空闲状态,没有进行数据传输。

开始位:一个传输时钟周期的低电平,表示数据传输开始。

数据位:UART协议支持一次传输5、6、7或8位,每位占用一个传输时钟周期。

校验位:UART协议共支持五种校验方式:

  1. 无校验,即NONE,不进行校验,此时没有校验位;

  2. 奇校验,即ODD校验,指的是如果数据位中1的个数为奇数,奇校验值为0,否则为1;

  3. 偶校验,即EVEN校验,指的是如果数据位中1的个数为偶数,偶校验值为0,否则为1;

  4. 1校验,即MARK校验,校验位固定为1;

  5. 0校验,即SPACE校验,校验位固定为0。

停止位:停止位表示单次传输结束,停止位可占1 / 1.5 / 2个传输时钟周期。

一帧字符与下一帧字符间可间隔任意个空闲位,也可以完全没有间隔,即停止位后紧跟下一帧的开始位,但这样的话可能在连续传输大量数据时接收数据出错。因为Uart是无时钟的,发送端和接收端的波特率必然存在微小偏差,这导致接收端每一位的长度和发送端是不一样的,所以大量数据的无间隔传输会使得位长误差累加,最终导致接收错位。


三. uart收发模块框图与使用说明

参数:

参数名 说明 可选值
CLK_FREQ_MHZ 此模块的工作时钟频率,以MHz为单位 任意正数,默认100
BAUD 串口波特率,注意根据板卡uart收发芯片支持的波特率来设置 任意正数,默认115200
DATA_BITS 串口一帧包含的数据位的位宽,一般的串口芯片只支持数据位宽5/6/7/8 5,6,7,8(默认)
PARITY 校验类型,无校验(默认),奇校验,偶校验,1校验,0校验 “NONE”(默认),“ODD”, “EVEN”, “MARK”, “SPACE”
STOP_BITS 停止位位宽,1/1.5/2 1(默认),1.5,2

信号:

信号分组 信号名 方向 说明
与发送FIFO连接的接口 tx_cclk_fwft_fifo_8wxxd_empty input 发送FWFT 8bit任意深度FIFO空接口
tx_cclk_fwft_fifo_8wxxd_dout[7 : 0] input 发送FWFT 8bit任意深度FIFO数据输出接口
tx_cclk_fwft_fifo_8wxxd_rd_en output 发送FWFT 8bit任意深度FIFO读取使能接口
与接收FIFO连接的接口 rx_cclk_fwft_fifo_8wxxd_full input 接收FWFT 8bit任意深度FIFO满接口
rx_cclk_fwft_fifo_8wxxd_din[7 : 0] output 接收FWFT 8bit任意深度FIFO数据输入接口
rx_cclk_fwft_fifo_8wxxd_wr_en output 接收FWFT 8bit任意深度FIFO写入使能接口
接收错误指示 rdata_error output 指示接收数据错误,高电平有效,
当根据接收数据计算得到的校验位与实际接收的校验位不同时,
置高一个时钟周期
物理引脚 uart_tx output uart发送线
uart_rx input uart接收线
时钟与复位 clk input 模块工作时钟,应输入频率与参数CLK_FREQ_MHZ相等的时钟
rstn input 同步复位信号,不连接也可正常工作

使用说明:

此模块需要外接一个发送FWFT 8bit任意深度FIFO一个接收FWFT 8bit任意深度FIFO,FIFO位宽固定为8,即使要发送的数据位宽为5~7,也可以直接写入FIFO,如设定的Uart数据位宽为5,那么将5bit数据写入8bit FIFO中,发送模块也会相应的只取低5位的数据。

当要发送数据时,上层模块只需往发送FIFO中写数据即可,此模块检测到发送FIFO非空时,就会将FIFO中数据发送出去;

此模块会将Uart接收到的数据写入到接收FIFO中,上层模块需要去接收FIFO中读数据以拿到Uart接收到的数据。


四. Uart IP框图与参数设置

可将Uart收发模块封装为IP。


五. 顶层模块代码

/*
 * @Author       : Xu Xiaokang
 * @Email        : XudaKang_up@qq.com
 * @Date         : 2022-05-05 11:11:22
 * @LastEditors  : Xu Xiaokang
 * @LastEditTime : 2022-11-09 11:17:24
 * @Filename     :
 * @Description  :
*/

/*
! 模块功能: 在uart收发模块外层再封装一层FIFO,包含发送FIFO与接收FIFO,以解决波特率误差导致接收位偏移的问题
* 思路:
  1.
*/

module uartRTUseFIFO
#(
  parameter CLK_FREQ_MHZ = 100,
  parameter BAUD         = 115200, // 波特率, 9600, 19200, 38400, 57600, 115200, 230400, 460800, 921600
  parameter DATA_BITS    = 8,      // 数据位宽度, 可选5, 6, 7, 8
  parameter PARITY       = "NONE", // 校验 "NONE", "ODD", "EVEN", "MARK", "SPACE"
  parameter STOP_BITS    = 1       // 停止位宽度可选1, 1.5, 2
)(
  // 发送数据 FWFT FIFO
  input  wire         tx_cclk_fwft_fifo_8wxxd_empty,
  input  wire [7 : 0] tx_cclk_fwft_fifo_8wxxd_dout,
  output wire         tx_cclk_fwft_fifo_8wxxd_rd_en,

  // 接收数据 FWFT FIFO
  input  wire         rx_cclk_fwft_fifo_8wxxd_full,
  output wire [7 : 0] rx_cclk_fwft_fifo_8wxxd_din,
  output wire         rx_cclk_fwft_fifo_8wxxd_wr_en,

  output wire rdata_error, // 接收错误

  output wire uart_tx,
  input  wire uart_rx,

  input  wire clk,
  input  wire rstn
);


//++ 实例化串口收发模块 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
wire [DATA_BITS - 1 : 0]  rdata;       // 接收到的数据
wire                      rdata_valid; // 指示接收数据有效; 高电平有效

wire [DATA_BITS - 1 : 0]  tdata;       // 要发送的数据
wire                      tdata_valid; // 指示发送数据有效; 此信号上升沿有效
wire                      uart_tx_ready; // 发送准备就绪

uartTx #(
  .CLK_FREQ_MHZ    (CLK_FREQ_MHZ   ),
  .BAUD            (BAUD           ),
  .DATA_BITS       (DATA_BITS      ),
  .PARITY          (PARITY         ),
  .STOP_BITS       (STOP_BITS      )
) uartTx_dut       (
  .tdata           (tdata          ),
  .tdata_valid     (tdata_valid    ),
  .uart_tx_ready   (uart_tx_ready  ),
  .uart_tx         (uart_tx        ),
  .clk             (clk            ),
  .rstn            (rstn           )
);


uartRx #(
  .CLK_FREQ_MHZ    (CLK_FREQ_MHZ   ),
  .BAUD            (BAUD           ),
  .DATA_BITS       (DATA_BITS      ),
  .PARITY          (PARITY         ),
  .STOP_BITS       (STOP_BITS      )
) uartRx_dut       (
  .rdata           (rdata          ),
  .rdata_valid     (rdata_valid    ),
  .rdata_error     (rdata_error    ),
  .uart_rx         (uart_rx        ),
  .clk             (clk            ),
  .rstn            (rstn           )
);
//-- 实例化串口收发模块 ------------------------------------------------------------


//++ 发送数据FIFO接口连接 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
assign tdata = tx_cclk_fwft_fifo_8wxxd_dout[DATA_BITS - 1 : 0];

reg tx_cclk_fwft_fifo_8wxxd_rd_en_temp;
always @(posedge clk) begin
  tx_cclk_fwft_fifo_8wxxd_rd_en_temp <= uart_tx_ready && tdata_valid;
end

assign tx_cclk_fwft_fifo_8wxxd_rd_en = ~tx_cclk_fwft_fifo_8wxxd_empty && tx_cclk_fwft_fifo_8wxxd_rd_en_temp;

assign tdata_valid = ~tx_cclk_fwft_fifo_8wxxd_empty;
//-- 发送数据FIFO接口连接 ------------------------------------------------------------


//++ 接收数据FIFO接口连接 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
reg rdata_valid_r1;
always @(posedge clk) begin
  rdata_valid_r1 <= rdata_valid;
end

assign rdata_valid_pedge = rdata_valid && ~rdata_valid_r1;

assign rx_cclk_fwft_fifo_8wxxd_wr_en = ~rx_cclk_fwft_fifo_8wxxd_full && rdata_valid_pedge;
assign rx_cclk_fwft_fifo_8wxxd_din = rdata;
//-- 接收数据FIFO接口连接 ------------------------------------------------------------


endmodule

六. 回环测试示例

回环测试顶层模块代码

/*
 * @Author       : Xu Xiaokang
 * @Email        : xuxiaokang_up@qq.com
 * @Date         : 2022-10-31 16:53:45
 * @LastEditors  : Xu Xiaokang
 * @LastEditTime : 2022-11-09 11:13:46
 * @Filename     :
 * @Description  :
*/

/*
! 模块功能: uart收发,实现环路测试,即将接收到的数据发出来
* 思路:
  1.
*/

module uartLoopTop
(
  input  logic uart_rx,
  output logic uart_tx,

  input logic fpga_input_clk_p,
  input logic fpga_input_clk_n,
  input logic rstn
);


//++ 时钟与复位 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
logic clk;
clk_wiz_0  clk_wiz_0_u0 (
  .clk_in1_p (fpga_input_clk_p),
  .clk_in1_n (fpga_input_clk_n),
  .clk_out1  (clk        )
);
//-- 时钟与复位 ------------------------------------------------------------


// ++ 参数设置 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
localparam CLK_FREQ_MHZ = 100;
localparam BAUD         = 115200; // 波特率, 9600, 19200, 38400, 57600, 115200, 230400, 460800, 921600
localparam DATA_BITS    = 8;      // 数据位宽度, 可选5, 6, 7, 8
localparam PARITY       = "ODD";  // 校验 "NONE", "ODD", "EVEN", "MARK", "SPACE"
localparam STOP_BITS    = 2;      // 停止位宽度, 可选1, 1.5, 2
// -- 参数设置 ------------------------------------------------------------


//++ 实例化uart模块 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
(* mark_debug *) logic rx_cclk_fwft_fifo_8wxxd_full;
(* mark_debug *) logic [7 : 0] rx_cclk_fwft_fifo_8wxxd_din;
(* mark_debug *) logic rx_cclk_fwft_fifo_8wxxd_wr_en;
(* mark_debug *) logic rdata_error;

(* mark_debug *) logic tx_cclk_fwft_fifo_8wxxd_empty;
(* mark_debug *) logic [7 : 0] tx_cclk_fwft_fifo_8wxxd_dout;
(* mark_debug *) logic tx_cclk_fwft_fifo_8wxxd_rd_en;

uartRTUseFIFO #(
  .CLK_FREQ_MHZ (CLK_FREQ_MHZ),
  .BAUD         (BAUD),
  .DATA_BITS    (DATA_BITS),
  .PARITY       (PARITY),
  .STOP_BITS    (STOP_BITS)
) uartRTUseFIFO_u0 (
  .rx_cclk_fwft_fifo_8wxxd_full  (rx_cclk_fwft_fifo_8wxxd_full ),
  .rx_cclk_fwft_fifo_8wxxd_din   (rx_cclk_fwft_fifo_8wxxd_din  ),
  .rx_cclk_fwft_fifo_8wxxd_wr_en (rx_cclk_fwft_fifo_8wxxd_wr_en),
  .rdata_error                   (rdata_error                  ),
  .tx_cclk_fwft_fifo_8wxxd_empty (tx_cclk_fwft_fifo_8wxxd_empty),
  .tx_cclk_fwft_fifo_8wxxd_dout  (tx_cclk_fwft_fifo_8wxxd_dout ),
  .tx_cclk_fwft_fifo_8wxxd_rd_en (tx_cclk_fwft_fifo_8wxxd_rd_en),
  .uart_tx                       (uart_tx                      ),
  .uart_rx                       (uart_rx                      ),
  .clk                           (clk                          ),
  .rstn                          (rstn                         )
);
//-- 实例化uart模块 ------------------------------------------------------------


//++ 实例化FWFT FIFO ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
cclk_fwft_fifo_8w1024d cclk_fwft_fifo_8w1024d_u0 (
  .clk   (clk  ), // input wire clk
  .srst  (~rstn ), // input wire srst

  .din   (rx_cclk_fwft_fifo_8wxxd_din  ), // input wire [7  : 0] din
  .wr_en (rx_cclk_fwft_fifo_8wxxd_wr_en), // input wire wr_en
  .full  (rx_cclk_fwft_fifo_8wxxd_full ), // output wire full

  .rd_en (tx_cclk_fwft_fifo_8wxxd_rd_en), // input wire rd_en
  .dout  (tx_cclk_fwft_fifo_8wxxd_dout ), // output wire [7 : 0] dout
  .empty (tx_cclk_fwft_fifo_8wxxd_empty)  // output wire empty
);
//-- 实例化FWFT FIFO ------------------------------------------------------------


endmodule

测试用的FPGA板卡:明德扬MP5620,板上串口转USB芯片为SILICON LABS公司的CP2102-GM,其支持的串口协议如下图所示:

使用的串口上位机工具:正点原子XCOM V2.6。

需要特别注意的是:上位机的波特率与Verilog模块设置的波特率是有一定差距的,这个差距的原因可能是上位机设置的波特率不准,也可能是因为波特率设置是整数时钟分频得到的,无法精确到小数位,所以上位机和Verilog模块间uart的波特率并不是严格一致的,可能是115200与115201的区别,正常情况这么小的差距数据也能被正常识别。但是XCOM在一次性发送多帧时,两帧之间是没有任何间隔的,这使得在连续传输多帧后,接收数据错位。

测试界面如下:

在115200波特率,8数据位,ODD校验,2停止位的条件下,回环测试通过。接着更改参数(需要USB转串口的芯片支持该组参数),进行其它条件下的试验,均无问题。

在连续发送10万个字节数据时,仍能返回正确的数据,这是因为加入了FIFO,波特率误差被FIFO缓冲消除了,如果不加FIFO且无间隔的发送数据,则连续发送10几个数据就可能发生接收错位,使得返回数据与发送数据不一致。


七. 模块代码与工程分享

模块代码自取:Verilog功能模块——Uart收发 · 徐晓康/Verilog功能模块 - 码云 - 开源中国 (gitee.com)

工程分享(内含所有模块代码):

K7TryUart. Uart回环测试 Vivado2021.2工程。

欢迎大家关注我的公众号:徐晓康的博客,回复以下代码获取。

4623

建议复制过去不会码错字!


徐晓康的博客持续分享高质量硬件、FPGA与嵌入式知识,软件,工具等内容,欢迎大家关注。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Verilog功能模块——Uart收发 的相关文章

  • 在 NodeJS 中可靠地读取 FIFO

    我正在编写一个与第三方应用程序交互的 NodeJS 脚本 第三方应用程序将在文件打开期间将数据写入文件 我希望我的 NodeJS 应用程序能够实时接收这些数据 我的脚本创建一个 fifo child process spawnSync mk
  • IOError:[Errno 2]没有这样的文件或目录(当它确实存在时)Python [重复]

    这个问题在这里已经有答案了 我正在通过 python 中的 uart 传输文件文件夹 下面您可以看到简单的功能 但有一个问题 因为我收到如标题所示的错误 IOError Errno 2 No such file or directory 1
  • Verilog 中总是后面跟着 #(...) pound 是什么意思?

    在一个简单的时钟生成器示例中 我看到以下代码 always cycle 2 clk clk 我以前总是见过 但没见过井号 我试图在文档中找到它 但我所能找到的只是一些对 实值端口 的引用 没有进一步的阐述 这是一个延迟操作 它本质上只是读取
  • 使用forever和always语句

    以下两个代码都会生成一个时钟 我需要知道除了时钟生成之外 永远循环是否还有其他用途 我只在时钟一代中遇到过永远 如果只是为了这个目的 那岂不是毫无用处 initial begin clk 0 forever begin 5 clk clk
  • 堆栈空异常

    我收到堆栈空异常 如果堆栈不为空 有 16 个项目 这怎么可能 我得到了错误的快照 有人可以解释一下吗 You must使用类似的东西时同步访问Stack
  • 如何在RTL中使用时钟门控?

    我正在对一些时钟进行门控latch以及我设计中的逻辑 我在综合和布局布线方面没有太多经验 在 RTL 中实现时钟门控的正确方法是什么 示例1 always comb begin gated clk clk latch update en e
  • Verilog:添加寄存器的各个位(组合逻辑,寄存器宽度可参数化)

    我正在尝试想出一种方法来添加寄存器的各个位 例如 if regA 111000 then regB 3 位的总和regA 1 Verilog或SystemVerilog中是否有可以直接使用的可综合函数 运算符来执行此操作 如果不是 那么问题
  • 将枚举转换为逻辑

    考虑以下模块声明 module DFF d q CLK RESET parameter W 2 input W 1 0 d input CLK input RESET output logic W 1 0 q endmodule 当 d 和
  • 「HDLBits题解」Gates4

    本专栏的目的是分享可以通过HDLBits仿真的Verilog代码 以提供参考 各位可同时参考我的代码和官方题解代码 或许会有所收益 题目链接 Gates4 HDLBits module top module input 3 0 in out
  • GATT 配置文件和 UART 服务

    我是开发通过蓝牙连接到外围设备的移动应用程序的新手 我搜索到 GATT 是用于蓝牙LE 通信的相关配置文件 但我们的客户建议我们使用 UART 服务 现在我很困惑 1 这两件事是如何关联的 2 我们是否必须选择其中之一 如果是的话 每一个的
  • verilog $readmemh 对于 50x50 像素 RGB 图像花费太多时间

    我正在尝试编译用于 FPGA 编程的 verilog 代码 我将在其中实现 VGA 应用程序 我使用 QuartusII 和 Altera 我正在尝试正确使用 readmemh 来逐像素获取图片 现在 我已经使用 matlab 将图片转换为
  • 通过 USB 模拟 UART

    有谁知道是否可以通过 USB 模拟 UART 简单串行发送和接收 这将如何实现 我在 Microchip 网站上找到了这个链接 但不是很容易找到 http www microchip com forums m522571 print asp
  • 嵌入式Linux中UART上的9位协议

    我正在尝试在嵌入式 Linux 中的 UART 上强制使用 9 位协议 目前我正在 am335x evm 板上对此进行测试 我计划使用坚持平价来做到这一点 理想情况下 我希望不需要实际修改 omap serial c 驱动程序的任何代码 9
  • Unix 上具有多个读取器的命名管道 (FIFO)

    我有两个程序 Writer 和 Reader 我有一个从写入器到读取器的 FIFO 因此当我向写入器中的标准输入写入内容时 它会从读取器打印到标准输出 我尝试在打开两个 Reader 的情况下执行此操作 并且仅从两个 Reader 程序之一
  • Verilog 中的大括号是什么意思?

    我很难理解 Verilog 中的以下语法 input 15 0 a 16 bit input output 31 0 result 32 bit output assign result 16 a 15 a 15 0 我知道assign语句
  • 在测试台中显示信号名称/文字

    是否可以在 Verilog 中引用 显示信号的名称 文字 对于在 Verilog 测试台中创建通用信号检查功能来说 这将是一个有用的功能 我知道使用 display 时 m 将打印信号的范围 是否有显示信号名称的等效项 在 Verilog
  • 用 C 语言实现 FIFO 队列

    对于嵌入式应用程序 我尝试使用 ANSI C 实现先进先出 FIFO 结构队列 最直接的方法似乎是通过实现链表 以便每个结构包含指向队列中下一个的指针 因此我将结构本身定义为 typedef enum LED on LED off etc
  • 在内核 OpenCL 中实现 FIFO 的最佳方法

    目标 在 OpenCL 中实现下图所示 OpenCl 内核所需的主要内容是将系数数组和临时数组相乘 然后最后将所有这些值累加为 1 这可能是最耗时的操作 并行性在这里非常有帮助 我正在为内核使用一个辅助函数来执行乘法和加法 我希望这个函数也
  • Verilog 双向握手示例

    我正在完成一个项目 要求是处理器内部功能单元之间的双向握手 我知道它是什么 但是有没有任何 标准 或一个简单的例子 我唯一能想到的就是两个单元之间 当它们之间有一条数据线并且当 X 发送到 Y 时 会给出一个单独的 发送 信号 当 Y 接收
  • Verilog 数组语法

    我是 Verilog 新手 并且遇到了很多麻烦 例如 我想要一个包含八个单元的数组 每个单元都是 8 位宽 以下不起作用 reg 7 0 transitionTable 0 7 assign transitionTable 0 10 仅仅做

随机推荐

  • 红帽Redhat—Linux基本命令行使用

    提示 文章写完后 目录可以自动生成 如何生成可参考右边的帮助文档 文章目录 一 什么是shell 二 基本命令 1 命令访问 2 参数 命令的作用对象 3 配置主机名 4 修改系统语言 5 用户名密码管理 6 cat 7 rm 删除文件命令
  • linux sh查看wifi状态,adb结合wpa_cli查看wifi状态命令集

    1 status 查看当前的WIFI状态 adb shell wpa cli iwlan0 g android wpa wlan0 IFNAME wlan0 status bssid 70 62 b8 62 2e c4 一个长度为48位二进
  • LR为什么用sigmoid函数,这个函数有什么优点和缺点?为什么不用其他函数?

    一 功能的基本性质 首先 Sigmoid的公式形式 公式图像 该函数的基本属性 定义域 值范围 1 1 1 1 函数是域内的连续且平滑的函数 它可以在任何地方被引导 并且导数是 f x f x 1 f x 最早的Logistic功能由Pie
  • python如何实现可视化热力图

    这篇文章主要介绍了python如何实现可视化热力图 小编觉得挺不错的 现在分享给大家 也给大家做个参考 一起跟随小编过来看看吧 热力图 1 利用热力图可以看数据表里多个特征两两的相似度 参考官方API参数及地址 seaborn heatma
  • IC617

    tip 个人日常笔记 解决的问题 导入一个由很多MOS晶体管组成的cdl网表 网表部分内容如下图所示 SUBCKT 512x2ESDA8 指的是 接下来将对512x2ESDA8这一模块进行定义 紧跟在后面的 A 8 A 7 是对这一模块管脚
  • 【Java多数据源实现教程】实现动态数据源、多数据源切换方式

    前言 本文为 Java多数据源实现教程 相关知识 由于自己最近在做导师的项目的时候需要使用这种技术 于是自学了相关技术原理与实现 并将其整理如下 具体包含 多数据源的典型使用场景 包含业务复杂场景 读写分离场景 多数据源实现原理及实现方法
  • JavaScript教程,零基础到入门,switch语句,case分组,类型,函数声明,局部变量,外部变量,参数,返回值,函数命名,

    switch 语句 switch 语句可以替代多个 if 判断 switch 语句为多分支选择的情况提供了一个更具描述性的方式 语法 switch 语句有至少一个 case 代码块和一个可选的 default 代码块 就像这样 switch
  • go 使用方式

    一 GO基本概念 Go是一个开源的编程语言 它能让构造简单 可靠且高效的软件变得容易 Go是从2007年末由Robert Griesemer 罗伯特 格利茨默 Rob Pike 罗伯 派克 gt Ken Thompson 肯 汤普森 主持开
  • C++预处理器

    预处理器是一些指令 指示编译器在实际编译之前所需完成的预处理 所有的预处理器指令都是以井号 开头 只有空格字符可以出现在预处理指令之前 不会以分号 结尾 define 预处理 define 预处理指令用于创建符号常量 该符号常量通常称为宏
  • Qt之程序发布以及打包成exe安装包

    1 简述 Qt 项目开发完成之后 需要打包发布程序 而因为用户电脑上没有 Qt 配置环境 所以需要将 release 生成的 exe 文件和所依赖的 dll 文件复制到一个文件夹中 然后再用 Inno Setup 打包工具打包成一个 exe
  • ArcGIS环境搭建及地图服务发布

    ArcGIS的环境需要如下文件 SQL Server2012 ArcGIS for Desktop ArcGIS for Server 目前网上提供的ArcGIS下载都是10 2 0版本 这个版本与win7的某几个补丁有冲突 会导致Publ
  • STM32配合火焰传感器的火灾报警

    实验材料 STM32F03 我这里用的是正点原子的战舰 火焰传感器 还有个蜂鸣器 我这个开发板自带 也是可外接的 火焰传感器介绍 工作原理 传感器模块在环境火焰光谱或者光源达不到设定阈值时 DO 口输出低电平 当外界环境火焰光谱或者光源超过
  • elasticsearch 编写java程序报错Exception in thread "main" java.lang.NoClassDefFoundError: org/elasticsearch

    java程序启动报错 Exception in thread main java lang NoClassDefFoundError org elasticsearch plugins NetworkPlugin ERROR StatusL
  • 微信小程序开发--2.6onLoad() 和onShow()的区别

    1 onLoad 页面第一次加载时触发 从跳转页面返回时不能触发 可以传递参数 代码示例 onLoad function options console log options console log options id var id o
  • SQL注入---联合注入

    Union联合注入攻击 1 联合注入的思路 会显示输出内容时 才考虑使用Union注入 可以在输入框中或者 URL 中输入内容 如果不能在输入框内输入内容 则需要使用 Burp suite 使用 重发器 修改 id 中的内容进行爆破数据 l
  • C++中实现Stack

    栈的实现 栈 示例代码 开发环境 运行结果 栈 栈本着先进后出的原则 来存取数据 作为数据结构中的一种 这里不多介绍相关栈 仅以此文记录C 中栈的实现 可帮助提升编程能力与对栈的理解 示例代码 直接上代码 SeqStack h pragma
  • Windows密码破解

    这里主要介绍两种方法来破解Windows的开机密码 一 利用五次shift漏洞来对win7 win10进行破解 此方法只适用于win7或者早期的win10 此方法主要是利用Windows开机时默认按五次shift键会启用粘贴键程序如下图 我
  • 手动推导LogisticRegression建模结果

    usr bin env python3 coding UTF 8 Date 2023 8 25 15 51 Author HELIN import numpy as np from sklearn model selection impor
  • 如何从Windows切换到Linux

    作者 栈栈 链接 CU技术社区 微软已经马上准备在2020年1月份终止对Windows 7的支持 这意味着您将不再获得bug修复或安全更新 如果您是Windows 7的最终支持者之一 并且不想陷入一个不安全的系统 则可以选择 升级到Wind
  • Verilog功能模块——Uart收发

    摘要 本文分享了一种通用的Uart收发模块 可实现Uart协议所支持的任意波特率 任意位宽数据 5 8 任意校验位 无校验 奇校验 偶校验 1校验 0校验 任意停止位 1 1 5 2 的数据传输 此模块需要搭配FIFO使用 以消除发送端和接