Quartus II 13.1的安装及使用

2023-11-11

一、下载安装注册

链接:https://pan.baidu.com/s/1QHvxykDfvoExBOoT4QWu_g
提取码:2000

1.解压压缩包
在这里插入图片描述

2.更改安装位置
在这里插入图片描述
3.选择安装内容
在这里插入图片描述
4.时间较长,慢慢等待
在这里插入图片描述
5.选择ok
在这里插入图片描述
6.下载文件
链接:https://pan.baidu.com/s/16GnGbr4v-EFKF0VZYUArsg
提取码:766d
7.文件的使用

  • 将刚才下载的文件移动到刚才软件安装位置...\quartus\bin64下面,然后点击运行,在点击应用
    在这里插入图片描述

  • 在安装目录下方生成一个license文件,点击保存
    在这里插入图片描述

  • 然后退出即可

在这里插入图片描述

  • 运行软件
    在这里插入图片描述
  • 选择“tools”下方的“license setup”后,会弹出如下窗口,将ID复制即可
    在这里插入图片描述
    在这里插入图片描述
  • 然后用记事本打开前面步骤保存的license文件,将上一步所复制的 NIC ID替换掉 “XXXXXXXXX” 就完成了注册
    在这里插入图片描述
  • 重新打开软件,看是否注册成功

在这里插入图片描述

  • 添加器件库

参考文献:Quartus II添加器件库方法

二、设计D触发器

2.1 新建工程

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
设置第三方EDA工具,此处是对Quartus II软件的介绍,就直接Next
在这里插入图片描述

2.2 创建原理图和VWF文件

在这里插入图片描述
在这里插入图片描述
选择4个与非门NAND2和一个非门not
在这里插入图片描述
在这里插入图片描述
通过工具栏上面的输入输出,以及连线工具设计出电路图
在这里插入图片描述
在这里插入图片描述

点击编译
在这里插入图片描述
编译完成
在这里插入图片描述
点击RTL Viewer,可以查看硬件电路图
在这里插入图片描述
在这里插入图片描述
创建vwm格式波形文件,输入激励源
在这里插入图片描述

在这里插入图片描述
添加Node or Bus…
在这里插入图片描述
在这里插入图片描述
选择自己的项目
在这里插入图片描述
在这里插入图片描述
结果如下:
在这里插入图片描述

2.3 时序波形仿真

选中输入信号a,产生时钟信号,可以双击某一段信号进行编辑
在这里插入图片描述
在这里插入图片描述

点击编译
在这里插入图片描述
在这里插入图片描述
解决办法如下:

连接modelsim
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
然后返回编译波形图,进行仿真
在这里插入图片描述

三、调用D触发器

3.1 新建工程

过程同上面一样

3.2 创建原理图和VWF文件

器件选择D触发器
在这里插入图片描述
在这里插入图片描述
编译后,生成原理图
在这里插入图片描述
创建VWF文件,同上面一样

3.3 波形仿真

功能仿真:
在这里插入图片描述
时序仿真:
在这里插入图片描述
通过功能仿真和时序仿真的结果对比可以发现,时序仿真存在时延,更加和实际结果相匹配。

四、用Verilog语言写D触发器

4.1 新建工程

方法同上

4.2 编写Verilog文件

创建Verilog文件
点击File->New,选中Verilog
在这里插入图片描述
代码如下:

//work是文件名
module work(d,clk,q);
    input d;
    input clk;
    output q;

    reg q;

    always @ (posedge clk)//我们用正的时钟沿做它的敏感信号
    begin
        q <= d;//上升沿有效的时候,把d捕获到q
    end
endmodule

编译文件

4.3 查看生成的电路图

在这里插入图片描述

4.4 波形仿真

在这里插入图片描述

五、参考文献

Quartus-II13.1三种方式实现D触发器及时序仿真
【FPGA——工具篇】:Modelsim SE-64 10.4下载、破解、安装过程
Modelsim SE版本的安装及使用方法

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Quartus II 13.1的安装及使用 的相关文章

  • FPGA零基础学习之Vivado-ROM使用教程

    FPGA零基础学习之Vivado ROM使用教程 本系列将带来FPGA的系统性学习 从最基本的数字电路基础开始 最详细操作步骤 最直白的言语描述 手把手的 傻瓜式 讲解 让电子 信息 通信类专业学生 初入职场小白及打算进阶提升的职业开发者都
  • DDR3学习总结(一)

    简介 DDR3 SDRAM常 简称 DDR3 是当今较为常见的一种储存器 在计算机及嵌入式产品中得到广泛应用 特别是应用在涉及到大量数据交互的场合 比如电脑的内存条 对DDR3的读写操作大都借助IP核来完成 本次实验将采用 Xilinx公司
  • PRBS笔记

    1 概述 PRBS 伪随机二进制序列 也称为伪随机码 通常被用来测试高速信号的信号质量 伪随机 也说明了该码流并不是真正的随机 而是具有特性属性 码流由 多项式 决定 具有重复周期 PRBS具有多种阶数 如PRBS7 PRBS15 PRBS
  • 【FPGA入门】第八篇、FPGA驱动VGA实现动态图像移动

    目录 第一部分 实现效果 第二部分 动态VGA显示的原理 1 将动态显示的区域提前进行赋值 2 图像块的移动是每张图片叠加后的效果 3 如何实现图像块位置的改变 第三部分 系统结构和驱动波形 1 系统的Top down结构 2 图像块移动的
  • J-Link仿真器与JTAG和SWD下载与接线

    目录 1 JTAG 1 1JTAG今天被用来主要的三大功能 1 2JTAG引脚 1 3可选引脚 2 SWD 2 1 SWD引脚 2 2 可选择引脚 2 3 JTag和SWD模式引脚定义 3 J Link仿真器 4 IAR与MDK配置两种下载
  • DEBUG:Generate Bitstream失败

    问题 约束失败 解决 确保IO初始化引脚正确 和选择合适的电平
  • FPGA零基础学习之Vivado-UART驱动教程

    FPGA零基础学习之Vivado UART驱动教程 本系列将带来FPGA的系统性学习 从最基本的数字电路基础开始 最详细操作步骤 最直白的言语描述 手把手的 傻瓜式 讲解 让电子 信息 通信类专业学生 初入职场小白及打算进阶提升的职业开发者
  • 关于Keil中Memory中观察不到数据变化的问题以及启动文件栈的初始化

    关于Keil中Memory中观察不到数据变化的问题 在KEIL中观察Memory数据变化 一定要记得只能在RAM地址或ROM之内观察 如下图所示 RAM的地址设置在地址为0x20000000开始的地方 大小为0x20000 因此只有在这个范
  • 笔试

    文章目录 前言 40 复位电路设计 1 recovery time和removal time 2 同步复位和异步复位 3 异步复位同步释放 本文参考 往期精彩 前言 嗨 今天来学习复位电路设计相关问题 微信关注 FPGA学习者 获取更多精彩
  • 用Vscode编辑verilog代码配置

    这篇教程感觉很详细了 我这里分享一下vscode和插件的安装包链接 都是官网下载的 放心食用 用VSCode编辑verilog代码 iverilog编译 自动例化 自动补全 自动格式化等常用插件 链接 https pan baidu com
  • 【Xilinx DDR3 MIG】Xilinx FPGA DDR3读写实验相关用户接口引脚解释

    目录 DDR3读写实验 实验框图 时钟模块 DDR3读写及LED指示模块 MIG IP核 用户接口解释
  • Verilog之assign

    Verilog中的关键词assign主要用于如下两个地方 数据流建模 用于数据流建模的显示连续赋值语句语法格式如下
  • 【DDR3 控制器设计】(3)DDR3 的写操作设计

    写在前面 本系列为 DDR3 控制器设计总结 此系列包含 DDR3 控制器相关设计 认识 MIG 初始化 读写操作 FIFO 接口等 通过此系列的学习可以加深对 DDR3 读写时序的理解以及 FIFO 接口设计等 附上汇总博客直达链接 DD
  • 【Xilinx Vivado时序分析/约束系列4】FPGA开发时序分析/约束-实验工程上手实操

    目录 建立工程 添加顶层 模块1 模块2 添加约束文件 编辑时钟约束 打开布线设计 代码代表的含义 时序报告 进行时序分析 Summary 包含了汇总的信息量 Source Clock Path 这部分是表示Tclk1的延时细节 Data
  • FPG—VGA显示器字符显示(附代码)

    目录 1 实操 1 1 字符取模 1 2 顶层模块 1 3 图像数据生成模块 1 3 1 模块框图 1 3 2 波形图绘制 1 3 3 代码编写 1 3 4 仿真验证 2 总结 本例程大部分与VGA显示驱动内容相同 只是显示部分改变了 故此
  • [从零开始学习FPGA编程-28]:进阶篇 - 基本组合电路-奇偶校验生成器(Verilog语言版本)

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 目录 第1章 奇偶校验生成器 1 1 什么是奇校验 1 2 Verilog语言描述
  • [HDLBits] Exams/ece241 2014 q7a

    Design a 1 12 counter with the following inputs and outputs Reset Synchronous active high reset that forces the counter
  • ALLEGRO等长时如何将PIN DELAY和VIA长度计算在内

    在PCB设计中 对于时序要求严格的线路 Via和IC pin delay的长度必须得到重视 通过下面的操作 可将Via和Pin delay加入到线路长度的计算中 1st 计算Pin delay 打开Constraint Manager 选择
  • 【电子技术】什么是LFSR?

    目录 0 前言 1 数学基础 1 1 逻辑异或 1 2 模2乘法 和 模2除法 2 线性反馈移位寄存器LFSR 3 抽头和特征多项式 4 阶线性反馈移位寄存器实例 0 前言 线性反馈移位寄存器 Linear Feedback Shift R
  • Matlab图像处理系列——图像复原之噪声模型仿真

    微信公众号上线 搜索公众号 小灰灰的FPGA 关注可获取相关源码 定期更新有关FPGA的项目以及开源项目源码 包括但不限于各类检测芯片驱动 低速接口驱动 高速接口驱动 数据信号处理 图像处理以及AXI总线等 本节目录 一 图像复原的模型 二

随机推荐

  • html css开关按钮样式,纯CSS实现开关按钮

    上面这种开关按钮在现代网页UI设计中经常出现 代替了以前丑陋的checkbox 在很多UI框架中如elementUI都有组件可以直接使用 但是画出这样一个开关是十分简单的 不需要借助JS代码就可以实现 核心思路就是将原有input框进行隐藏
  • github响应时间过长且修改hosts无效【解决办法】

    打开ipaddress com 分别键入 github global ssl fastly net 和 github com 查询到对应的IP地址 打开hosts文件 并新增 hosts文件地址 win下 C Windows System3
  • 我提交的第一个Flink commit - Flink 闭包检查

    为什么闭包 Flink中算子都是通过序列化分发到各节点上 所以要确保算子对象是可以被序列化的 算子的成员变量 代码中的匿名内部类都是检查的范围 闭包检查入库 被调用的入口是 StreamExecutionEnvironment clean
  • Typora 常用快捷键

    文章目录 Typora 常用快捷键 一 菜单栏 二 文件 三 编辑 四 段落 五 格式 六 视图 七 设置颜色 Typora 常用快捷键 一 菜单栏 文件 alt F 编辑 alt E 段落 alt P 格式 alt O 视图 alt V
  • cahtgpt有哪些优势

    ChatGPT丨小智ai丨chatgpt丨人工智能丨OpenAI丨聊天机器人丨AI语音助手丨GPT 3 5丨开源AI平台 ChatGPT是一种基于深度学习技术的自然语言处理模型 它可以自主地从大量的文本数据中学习和理解自然语言 从而实现自然
  • hbuildx中使用真机调试

    前言 使用真机调试的原因是我开发uni app项目 调试一直是在浏览器中选择手机模式进行调试的 但是打包安装到手机以后 发现很多样式变化很大 完全不符合预期 这时我知道浏览器是不能完全匹配手机的效果的 模拟器 于是我开始找模拟器 市面上模拟
  • Dictionary字典类使用范例

    原文发布时间为 2009 11 04 来源于本人的百度文章 由搬家工具导入 using System using System Web UI WebControls using System Collections Generic publ
  • Qt

    参考博客 QThread必须要了解的几个函数 https blog csdn net t46414704152abc article details 52155777 设计思路 读文件生成sql语句写入内存为一个线程 返回sql语句给主线程
  • 机器学习之KNN检测恶意流量

    背景 任何智能活动的都可以称为人工智能 而机器学习 Machine Learning 属于人工智能的一个分支 深度学习 Deep Learning 则是机器学习的分支 近年来 随着基础设施的完善 海量大数据的积累 机器学习方法理论越来越成熟
  • uniapp icon标签

  • 使用Clang编译内联intel语法汇编的C/C++项目

    我们在编写C C 代码时 如果在有较高性能需求的时候 可以使用汇编代码来编写相应的函数 C C 直接调用即可 汇编的语法格式有两种 一种是我们在Windows下常见的Intel的语法格式 另一种是GCC下使用的AT T格式的语法 这种语法对
  • MAC上Chrome关闭黑暗模式

    方法 1 打开 Chrome 或基于 Chromium 的浏览器 2 在URL处输入 chrome flags enable force dark 确保 Force Dark Mode for Web Contents 和 Web Plat
  • pandas的引入及Series的基础操作、读取外部文件

    作用 相对于numpy pandas更进一步能帮助处理数值型数据之外的其他类型数据 比如时间序列 字符串等 常用数据类型 Series 一维 带标签数组 DataFrame 二维 Series容器 Series 创建Series数组 语法结
  • 【实用工具】利用MyBatisX插件自动生成代码

    文章目录 1 概述 2 基本使用 2 1 插件安装 2 2 集成数据库 1 概述 MybatisX 是一款基于 IDEA 的快速开发插件 为效率而生 在开发过程中 相信大家都遇到过一个数据库内有着十几张或比之更多的数据表的情况 而面对这众多
  • PHP基础

    php语法初步 php是一种运行在服务器端的脚本语言 可以嵌入到html中 php代码标记 在PHP历史发展中 可以用多种标记来区分php脚本 asp标记 短标记 以上两种基本弃用 如果要使用那么需要在配置文件中开启 脚本标记
  • Jenkins 简介

    Jenkins 简介 为什么要使用 Jenkins 是 Jenkins 还是 Hudson 从 Hudson 到 Jenkins 一个简短的故事 应该使用 Jenkins 还是 Hudson Jenkins 简介 Jenkins 最开始被称
  • failed to accept an incoming connection: connection from 127.0.0.1

    一 报错详细信息 root host 47 98 97 124 tail f tmp zabbix agentd log 11822 20180707 094214 749 Starting Zabbix Agent host 47 98
  • [javascript] Box2D JS初探(一个控制小球的游戏例子)。学习用!

    Box2D 开源物理引擎 第一次接触 主要用途应该是做游戏 不过也可以作些简单的页面特性 比如切割粉碎 拖动带弹性系数 从写了个控制小球运动 可以方向键控制左右和弹跳 碰到障碍物就死掉的DEMO来试试 演示地址 null 这里注意的是 市面
  • 在树莓派和Ubuntu等Linux发行版平台上使用OpenCV原生API调用摄像头等设备时虚拟机崩溃的问题及解决方案

    在树莓派和Ubuntu等Linux发行版平台上使用OpenCV原生API调用摄像头等设备时虚拟机崩溃的问题及解决方案 摘要 本文讨论了在树莓派和Ubuntu等Linux发行版平台上使用OpenCV原生API调用摄像头等设备时可能导致虚拟机崩
  • Quartus II 13.1的安装及使用

    文章目录 一 下载安装注册 二 设计D触发器 2 1 新建工程 2 2 创建原理图和VWF文件 2 3 时序波形仿真 三 调用D触发器 3 1 新建工程 3 2 创建原理图和VWF文件 3 3 波形仿真 四 用Verilog语言写D触发器