数字电路设计之仿真时碰到的小问题

2023-11-12

第一点:

初始化 XXX

#10 i_datain <= {`PUSH, 9'b000001111}; 

#10 i_datain <= {`SUB0, `gr3,  `gr1, `gr0};        

#80 i_datain <= {`SUB1, `gr3,  `gr1, `gr0};

这一段中的80的延时居然是给前面的SUB0指令的,我看了很久才发现。原来是开始初始化XXX延时10,接着是读入PUSH再延迟10,接着读入SUB0延时80,最后读入SUB1。

那就是

初始化 XXX     #10;

i_datain <= {`PUSH, 9'b000001111};       #10;

i_datain <= {`SUB0, `gr3,  `gr1, `gr0};      #80;

i_datain <= {`SUB1, `gr3,  `gr1, `gr0};

这样好像更好看一些。

第二点:

就是使用软件仿真的时候,时间一定要设置好,否则要检查很久才能看出!

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

数字电路设计之仿真时碰到的小问题 的相关文章

  • Verilog 显示中不必要的空间

    我正在尝试以十进制显示一些 32 位值 除了 b 和前一个字符之间有奇怪数量的不必要的空格外 这工作正常 例如 如果我有一个 32 位 reg a 其十进制值为 33 我将使用类似的东西 initial begin display a d
  • $readmem 可以在 Verilog 中综合吗?

    我正在尝试在 FPGA 上实现微控制器 我需要为其程序提供一个 ROM 如果我使用 readmemb 它会被正确合成到 ROM 中吗 如果不是 执行此操作的标准方法是什么 这取决于合成工具是否 readmemb是可以合成的 阿尔特拉的推荐的
  • 何时使用tick(')进行Verilog数组初始化?

    数组初始化可以通过或不通过 int a 8 0 1 2 3 4 5 6 7 Packed int b 8 0 1 2 3 4 5 6 7 Unpacked 有没有correct方式 假设数组使用不可打包的类型 例如int string ET
  • verilog模块中的reg和wire有什么区别?

    在verilog模块中我们什么时候应该使用reg以及什么时候应该使用wire 我还注意到有时输出会再次声明为 reg 例如 D 触发器中的 reg Q 我在某处读过这个 过程赋值语句的目标输出必须是 reg 数据类型 什么是程序赋值语句 我
  • 计算数组中的个数

    我试图在 Verilog 中计算 4 位二进制数中 1 的数量 但我的输出是意外的 我尝试了几种方法 这是我认为应该有效的方法 但事实并非如此 module ones one in input 3 0 in output 1 0 one a
  • 比较数字进行排序然后得到中值

    使用按位或比较运算符对五个整数进行排序可以通过以下方式实现 首先获取最大的数字 然后获取第二大的数字 然后获取第三大的数字 依此类推 这是我获取最高数字的代码 include
  • Vivado 比特流消息:违反规​​则 (LUTLP-1) 组合循环

    我在串流时遇到问题 该项目旨在创建一个占空比为 1 2 的时钟 综合和实现过程中没有任何问题 我尝试了几种方法来解决它 但他们的表现并不好 module clock div clk clk out input clk output reg
  • 如何在RTL中使用时钟门控?

    我正在对一些时钟进行门控latch以及我设计中的逻辑 我在综合和布局布线方面没有太多经验 在 RTL 中实现时钟门控的正确方法是什么 示例1 always comb begin gated clk clk latch update en e
  • 修改后的 baugh-wooley 算法乘法 verilog 代码不能正确乘法

    以下 verilog 源代码和 或测试平台可以很好地工作商业模拟器 iverilog https www edaplayground com x 3TuQ也形式化验证工具 yosys smtbmc https gist github com
  • verilog 中的“<<”运算符

    我有一个verilog代码 其中有一行如下 parameter ADDR WIDTH 8 parameter RAM DEPTH 1 lt lt ADDR WIDTH 这里将存储什么RAM DEPTH以及什么是 lt lt 操作员在这里做
  • 如何获取值数组作为 plusargs?

    如何获取值数组作为参数 我需要从命令行获取一组未定义大小的命令 如何将这些参数放入数组或队列中 Eg CMDS READ WRITE READ N WRITE 它应该被带到一个数组中 value plusargs不支持数组 但支持字符串 看
  • Verilog 错误:必须连接到结构网络表达式

    我收到错误 output or inout port Qout must be connected to a structural net expression 我评论了下面代码中发生错误的行 代码被修剪 压缩 我搜索了答案 似乎我无法将输
  • 如何在 Verilog 中综合 While 循环?

    我尝试设计一个 Booth 乘法器 它在所有编译器中运行良好 包括 Modelsim Verilogger Extreme Aldec Active Hdl 和 Xilinx Isim 我知道模拟和综合是两个不同的过程 而且只有少数Veri
  • 向左旋转 verilog 大小写

    我的任务是用verilog编写一个16位ALU 当我做需要旋转操作数和进行2的补码加法和减法的部分时 我发现了困难 我知道如何用纸和铅笔解决这个问题 但我无法弄清楚如何在 Verilog 中做到这一点 例如 A表示为a15 a14 a13
  • 在 Verilog 设计中产生时钟故障

    我正在使用 Verilog 设计芯片 我有一个 3 位计数器 我希望当计数器处于第 8 次循环时 应该有一个时钟故障 之后就可以正常工作了 在 Verilog 设计中产生时钟故障的可能方法是什么 在时钟信号上注入毛刺的一种方法是使用forc
  • 如何在Verilog中将二维数组中的所有位设置为0?

    我构建了一个 8 2bits 数组来表示 Verilog 中的一块内存 reg 1 0 m 0 7 该存储器有一个复位信号 如果复位为1 则该存储器中的所有位都应重置为0 但是我不知道如何以简洁的方式设置m的所有位 因为如果有数百个内存中有
  • 我怎样才能让我的verilog移位器更通用?

    这里我有一个移位器 但现在它最多只能工作 3 位 我一直在寻找 但不知道如何让它工作最多 8 位 module shifter a b out input 7 0 a b output 7 0 out wire 7 0 out1 out2
  • reg 声明中的位顺序

    如果我需要使用 4 个 8 位数字 我会声明以下 reg reg 7 0 numbers 3 0 我对第一个和第二个声明 7 0 和 3 0 之间的区别感到很困惑 他们应该按什么顺序来 第一个是保留数字的大小 而第二个是保留数字的数量 还是
  • Verilog 按位或 ("|") 单子

    我见过 Verilog 代码 其中使用了按位或运算符 目的是什么 例如 address 15 14 0 or address 15 14 io din ramrd 不能省略 吗在这些情况下 在这种情况下 它充当归约运算符 例如 4 b100
  • 如何在 icarus verilog 中包含文件?

    我知道基本的 include filename v 命令 但是 我试图包含另一个文件夹中的模块 现在 该模块还包括同一文件夹中存在的其他模块 但是 当我尝试在最顶层运行该模块时 出现错误 C Users Dell Desktop MIPS

随机推荐

  • 百度飞浆行人多目标跟踪笔记

    开源地址 PaddleDetection configs mot at release 2 3 PaddlePaddle PaddleDetection GitHub 百度飞浆集成了多目标跟踪的多种算法 地址 PaddleDetection
  • 基于MES系统的离散制造车间的设备,实现设备全方位维护

    离散制造的产品多为多品种小批量 生产组织复杂 计划排产困难 需要综合考虑人机料各种因素 另外 临时插单多 多数订单具有定制化特点 车间质量 工艺等异常多 造成生产节奏不稳定 进而影响设备维保执行的及时性 规范性 造成设备的突发故障较多 若设
  • Apache架构师都遵循的30条设计原则

    Srinath 通过不懈的努力最终总结出了 30 条架构原则 他主张架构师的角色应该由开发团队本身去扮演 而不是专门有个架构师团队或部门 Srinath 认为架构师应该扮演的角色是一个引导者 讨论发起者 花草修建者 而不是定义者和构建者 S
  • java audioinputstream 读取音频文件,从最初获得高达一些X字节的AudioInputStream(切割音频文件)...

    How can i read an AudioInputStream upto a particular number of bytes microsecond position For example AudioInputStream a
  • SDRAM操作说明——打开DDR3的大门

    SDRAM synchronous dynamic random access memory 同步动态随机存储器 所谓同步就是指需要时钟信号来控制命令数据 动态是指存储阵列需要不断地刷新来保证数据不会丢失 随机是指存取数据可以根据需要在不同
  • 参考文献中英文人名_参考文献英文名字应该怎么写?

    展开全部 名字的缩写 学位的缩写只有PhD MD BD等 英文文献好像是不标学位的 对于英文参考文献 还应注意以e5a48de588b662616964757a686964616f31333431363664下两点 1 作者姓名采用 姓在前
  • Omni Core v0.11.0 rpc-api

    JSON RPC API Omni Core 是 Bitcoin Core 的一个分支 在上面添加了 Omni 协议功能支持作为一个新的功能层 因此 与 API 的交互以与比特币核心相同的方式 JSON RPC 完成 只需使用额外的 RPC
  • 8.1.2-elasticsearch文本解析之自定义分词器及分词器匹配规则

    创建自定义analyzer 在具体的业务场景当中可能内置的analyzer并不能满足需求 这就需要能够自定义analyzer 前文已经说过analyzer由3部分组成 自定义analyzer就是通过配置以下三部分内容来实现的 序号 子构件
  • 这 13 种职业用AI提效的 40 类场景盘点

    随着人工智能技术的发展 职业领域出现了诸如我们 小蜜蜂助手Beezy 等神奇的工具 大幅度提升了各行各业里从业人员的工作效率 笔者今天将详述13种常见职业 分别是如何利用这些工具在实际工作过程中来帮助自己提升效率的 大量干货和私藏宝藏小工具
  • 7年经验之谈 —— Web测试是什么,有何特点?

    Web测试是指对Web应用程序进行验证和评估的过程 以确保其功能 性能和安全性符合预期 Web测试具体包括以下几个方面的内容 功能测试 验证Web应用程序是否按照需求规格说明书中定义的功能正常工作 功能测试包括输入验证 表单提交 页面导航
  • jmeter之命令行模式(Non-GUI Mode )

    新浪围脖 gt o蜗牛快跑o 企鹅交流群 gt 79642549 命令行模式优势 适用于Windows和linux执行机 与os无关 命令行容易扩展 比如上集成到jenkins平台 用命令行更加容易 适用于高并发测试 测试开始时 conso
  • 中后序遍历构建二叉树与应用I

    目录 题目描述 思路分析 AC代码 题目描述 按中序遍历和后序遍历给出一棵二叉树 求这棵二叉树中叶子节点权值的最小值 输入保证叶子节点的权值各不相同 输入 测试数据有多组 对于每组测试数据 首先输入一个整数N 1 lt N lt 10000
  • 计算机视觉的延伸整理

    目录 计算机视觉 数字图像处理 模式识别 机器学习 数据挖掘 监督学习和无监督学习 强化学习 数据建模 马尔科夫决策过程 计算机视觉 计算机视觉是一门涉及数字图像处理 模式识别和机器学习等技术的交叉学科 旨在将计算机技术应用于对视觉信息的理
  • 【51单片机】LD3320A语音识别控制设计

    文章目录 一 主要功能 二 硬件资源 1 硬件准备 2 硬件连接 三 软件设计 1 软件结构 2 主要代码 四 实验现象 联系作者 一 主要功能 系统运行后 当对语音模块说出 小易小易 时 收到回复信息后 开始说出控制指令 项目中已设计 开
  • 数值计算软件有哪些?一款国产软件非常亮眼。

    数值计算软件有哪些 一款国产软件非常亮眼 数学软件由算法标准程序发展而来 大致形成于70年代初期 随着几大数学软件工程的开展 如美国的NATS工程 人们探索了产生高质量数学软件的方式 方法和技术 经过长期积累 已有丰富的 涉及广泛数学领域的
  • 2023手把手教你视频剪辑,学会后不用担心不会剪辑了,不用真人露脸!

    前段时间发布了几期有关剪辑的内容 收到不少粉丝小伙伴的留言 说自己很想做自媒体 现在遇到的最大的难题就是如何剪辑好视频作品 今天就来出这一期的基本教学 只分享今天这一次 如果感兴趣记得点赞评论和关注 有不懂的地方记得在评论区下方留言新手 我
  • 精心收集了60个C语言项目源码,分享给大家

    C语言文章更新目录 C C 学习资源 百度云盘链接 计算机二级资料 过级专用 C语言学习路线 从入门到实战 编写C语言程序的7个步骤和编程机制 C语言基础 第一个C程序 C语言基础 简单程序分析 VS2019编写简单的C程序示例 简单示例
  • node学习之express(1)

    1 前提是你安装了node npm 2 此次我学习的网站是 汇智网 3 创建一个项目学习 npm init 按照提示 输入 不输入 项目的一些信息 安装express模块 npm install express save
  • 风之幻想

    风之幻想 是我的一个练习项目 业余时间开发 用于自己的技术练习 同时也希望可以最终成为一款独立游戏 时间 2020 03 03 技能 落叶飞花完成 目前对于大量落叶的操纵还需要优化 避免一些不必要的坐标转换操作提升性能 否则效果不佳 风之幻
  • 数字电路设计之仿真时碰到的小问题

    第一点 初始化 XXX 10 i datain lt PUSH 9 b000001111 10 i datain lt SUB0 gr3 gr1 gr0 80 i datain lt SUB1 gr3 gr1 gr0 这一段中的80的延时居