PCB 经验

2023-11-14

1、CPU或是关键的IC放在PCB的board中间,目的是有足够空间布线;

2、CPU和内寸之间走线一般要做等长走线;长度也要考虑是否够绕线;

3、时钟芯片尽量靠近CPU,并远离其它敏感信号;

4、CPU的复位电路尽量远离时钟以及其它高速信号;

5、去耦电容尽量靠近CPU的电源引脚,并且放置在CPU芯片的反面;

6、电源部分应放在板子的四周,远离一些高速敏感信号;

7、接插件放在板子边上,发热大的器件,放在通风条件好的位置;

8、一些测试点以及用来选择的元件放在顶层,方便调试;

9、同一功能模块的元件尽量放在同一区域。

10、如果某一元件位置固定,可以将其锁住,防止不小心移动。

11、线路的特性阻抗,一般为25欧姆~70欧姆;

PCB检查:

1. 板的外形尺寸是 否和规划一致

2. 接口器件的布局是否到位

3. 退藕电容的布局是否合理

4. 匹配电阻的布局是否合理

5. 时钟模块的布局是否合理

6. 复位电路的布局是否合理

7. MARK 点放置

8. 测量的命令 Display=& gt;Measure或者工具栏

9. 生成钻孔文件 选择菜单 Manufacture->NC->NC Parameters

10.输出artwork :在输出底片文件之前,需要确认一下动态铜的参数。

选择菜单 Shape->Global Dynamic Params 弹出Global Dynamic Parameters 对话框,

11. 对于两层板也可以使用EDIT –split plane 来进行铺铜。

首先用选 LINE options 选择ANTI–ETCH 规划出各个电源网络,然后用edit _ split plane __create ………

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

PCB 经验 的相关文章

  • 硬件系统工程师宝典(34)-----FLASH电路如何设计?

    各位同学大家好 欢迎继续做客电子工程学习圈 今天我们继续来讲这本书 硬件系统工程师宝典 上篇我们了解了存储器可分为RAM和ROM 根据不同特性也可以逐级细分 并且简单介绍了EEPROM 今天我们讲一讲FLASH有哪几种 NOR FLASH
  • 三极管

    截止区 放大区 饱和区 放大电路 三极管工作在 放大区 一般不用三极管做放大电路 原因是三极管的可变电阻特性不容易调 运算放大器 开关电路 发射集 一般不加 下拉电阻 a 三极管工作在 截止区 Vout 0v 或 饱和区 Vout Vcc
  • 硬件系统工程师宝典(22)-----电容、电感的特性,你都知道吗?

    各位同学大家好 欢迎继续做客电子工程学习圈 今天我们继续来讲这本书 硬件系统工程师宝典 上篇我们说到做电阻选型时要考虑阻值 功率 精度和封装大小 上下拉电阻除了给引脚一个稳定的电平 可以提高电压准位 增加输出功率以及满足阻抗匹配的要求 今天
  • AD设置某个焊盘铺铜连接方式

    AD设置某个焊盘铺铜连接方式 在规则中创建个别焊盘铺铜连接方式 目的 PCB布板时 将表贴焊盘与铺铜连接方式设置为花焊盘 十字连接 将螺钉孔与铺铜连接方式设置为全连接 步骤一 所有焊盘与铜皮默认为十字连接 单独设置螺钉孔与铜皮全连接 步骤二
  • 硬件系统工程师宝典(38)-----常用接口之视频接口的电路设计

    各位同学大家好 欢迎继续做客电子工程学习圈 今天我们继续来讲这本书 硬件系统工程师宝典 上篇我们介绍了一些常用的接口带EMC保护的电路设计 有AC24V AC110 220V AV接口 CAN接口 DC12V DC24V DC48V接口 今
  • 让AD 自动导出 3D效果照片到项目文件路径下,方便查看

    让AD自动在项目目录下生成PCB的3D照片 分辨率 设为最高 视图可以自定义或者从上到下俯视 颜色配置默认绿色 也可以选择当前视图 确定即可 这样查看起来非常方便 不用再打开文件查看了
  • Orcad 16.6中批量修改网络名

    本例将本页原理图中的AGND网络修改为AGND 1 Orcad版本是16 6 步骤如下 1 Orcad原理图中 Ctrl F 选择Power GND 2 在弹出的搜索结果窗口中 按住Shift选中所有的搜索结果 右击搜索到的网络名 Edit
  • 硬件系统工程师宝典(29)-----应用DC/DC要注意什么?

    各位同学大家好 欢迎继续做客电子工程学习圈 今天我们继续来讲这本书 硬件系统工程师宝典 上篇我们说到使用LDO时 除了要考虑输入 输出电压外 还要注意压差 最大输出电流等 今天我们来讲讲DC DC的应用分析 DC DC分类 将一个不受控的输
  • Altium Designer中批量修改原理图中的器件属性

    网上关于批量修改也有很多的介绍 按照网上的尝试在PCB的修改中可以正常操作 但是在原理图中 却只能修改一个 究其原因 原来是差了一步 正确的步骤是 1 先选择需要修改的器件的其中一个 2 右键find similar objects 然后在
  • LFSR:线性反馈移位寄存器及其应用

    LFSR简介 LFSR Linear feedback shift register 是一种特殊的的移位寄存器 他的输入取决于其先前状态 LFSR的使用异常广泛 可以说涉及到方方面面 以下是Wikipedia列举的一些应用 INTELSAT
  • 18650锂电池参数、充放电时间计算详解

    我们以NCR18650B举例 其参数为 额定容量 3200mAh 容量范围3250mAh 3350mAh 额定电压 3 6V 充电参数 恒流 恒压 充电电流为1625mA 充电到4 2V 需要4小时 质量 48 5g 充电温度 放电温度 存
  • Buck电路的参数计算及仿真

    一 Buck电路的参数计算较为简单 可以用matlab来完成 代码如下 clear clc Vin 12 输入电压单位V Vout 5 输出电压单位V Fs 100000 开关频率单位Hz DeltaIL 0 25 电流纹波单位A Delt
  • 射频原理图设计checklist

    射频原理图设计checklist 持续更新 文章目录 射频原理图设计checklist 1 WiFi GPS测试兼容 2 SAR SENSER 的GPIO控制和电源供电需常开 3 射频收发器与基带芯片之间的IQ连接线需参考平台推荐 4 主集
  • 几个巧妙的电流检测电路

    在电源等设备中通常需要做电流检测或反馈 电流检测通常用串联采样电阻在通过放大器放大电阻上的电压的方法 如果要提高检测精度 这地方往往要用到比较 昂贵的仪表放大器 以为普通运放失调电压比较大 下面介绍几种巧妙的廉价的电流检测电路 1 三极管电
  • 5.4双积分ADC工作原理

    文章目录 1 高中几个知识点 exp n log n lgx lnx 电容充放电公式 2 双积分型ADC工作原理 3 SAR和 型模数转换器 ADC 1 高中几个知识点 exp n exp函数即指数函数 e的n次方的函数 自然常数e 2 7
  • 常用的Buck型DC-DC的原理图电路

    常用DC DC buck原理图电路 下图是比较完整的DC DC电路设计 全文将主要介绍各个元件的作用 针对该电路各位号分析 1 Vin的C1 C2主要是滤波 使得DC DC芯片输入能够得到较为干净的电 2 R1 R2是限流用的 一般是K级的
  • orCAD下设置不同的GND网络

    对 PCB设计 而言 其中最重要 也是最基础的 就是 电源与地GND 列举例子如下 i 首先 对 简单电路 而言 其中的 电源与地GND 只有2个 大部分情况下 其被命名为 VCC 和 GND ii 其次 对 稍复杂电路 而言 其必须对GN
  • 硬件设计---了解电源篇

    1 概述 在高速电路设计中一块单板上常存在多种电源 3 3V 1 8V 1 2V 1 0V 0 9V 0 75V等 有时光是对FPGA供电就需要五六种电源 为了便于使用往往用户只需要提供一种或几种电源 然后经过板上电源模块转换到各个目标电源
  • AD7021C 集成触摸感应加单路调光芯片 可实现无极调光和单按键开关功能

    AD7021C触摸感应IC 是为实现人体触摸界面而设计的集成电路 可替代机械式轻触按键 实现防水防尘 密封隔离 坚固美观的操作界面 使用该芯片可以实现 LED 灯光亮度调节 方案所需的外围电路简单 操作方便 确定好灵敏度选择电容 IC 就可
  • VD4056H 输入高耐压30V 带OVP反接功能 适用于TYPEC口的高浪涌电压

    VD4056H是一款高集成度 高性价比的单节锂离子电池充电器 它采用恒定电流 恒定电压线性控制 只需较少的外部元件数目 使得其成为便携式应用的理想选择 同时也适合USB电源和适配器电源工作 VD4056H采用了内部P MOSFET架构 并含

随机推荐

  • Meta 内部都在用的 FX 工具大起底:利用 Graph Transformation 优化 PyTorch 模型

    PyTorch 中的 graph mode 在性能方面表示更为出色 本文介绍 Torch FX 这个强大工具 可以捕捉和优化 PyTorch 程序 graph 一 简介 PyTorch 支持两种执行模式 eager mode 和 graph
  • 用Ai描摹图片

    用Ai描摹图片 陈子龙 2019 2 4 用ai来描摹这张图片 先用钢笔工具把哆啦A梦的外面黑的地方钩画出来 并上色 然后在把哆啦A梦的身体蓝色的地方用钢笔描出来 在把它白色的部位用钢笔描出
  • C语言中堆内存的申请和使用

    在编程过程中 有时需要使用大量数据 此时可以使用堆内存来方便存储和管理这些数据 堆内存是由程序员手动进行申请 释放的内存 它的空间非常大 但如果在申请后没有释放 会导致内存泄露 关于堆内存的常用函数 1 void malloc size t
  • 一文读懂微服务架构设计

    一 前言 微服务 MicroServices 是一种架构风格 一个大型复杂软件应用由多个微服务和前端展示层组成 系统中的各个微服务可被独立部署 各个微服务之间是松耦合的 每个微服务仅关注于完成一件任务并很好地完成该任务 在所有情况下 每个任
  • 组合预测模型

    组合预测模型 ARIMA CNN LSTM时间序列预测 Python 目录 组合预测模型 ARIMA CNN LSTM时间序列预测 Python 预测结果 基本介绍 程序设计 参考资料 预测结果 基本介绍 ARIMA CNN LSTM是一种
  • Django运行服务报NameError: name ‘os‘ is not defined-已解决

    这里调用了os模块 但是文件头并没引用os模块 解决办法 在settings py文件头加上 import os
  • 【MySQL】解决JDBC无法成功连接MySQL5.7的问题

    写在前面 笔者的个人主页近期升级了一下服务器 以前的VPS确实不行了 然后也就顺便用了最新版本也就是MySQL5 7 但是这个版本呢升级了很多安全策略 网上的资料 中文 也相对较少 之前因为安装这个MySQL5 7已经折腾了我大半天 这里附
  • CSS深入理解之line-height

    以下文字整理自慕课网 张鑫旭的 CSS深入理解之line height 我看到不时有人点赞收藏这篇文章 我想应该也有很多人是对line height 和vertical align 困惑吧 你们可以去看下这篇文章 上面有我学习vertica
  • texstudio更新记录

    Ubuntu20 04 更新TexStudio 本着不折腾不舒服的原则 准备更新texstudio 原版本2 12 22 texstudio网站上是没找到Ubuntu的 只有xubuntu版本的安装包 既然推荐用ppa方式 那就试试 点开紫
  • Windows Server间文件实时备份(syncthing) ---带历史版本“后悔药”

    一 概念简介 syncthing 一款开源免费的数据同步工具 基于P2P的跨平台文件同步工具 通过tcp建立设备连接 再通过TLS进行数据安全传输 支持公网与局域网搭建 支持单双向同步与历史版本控制 后悔药 支持Android Linux
  • go 进阶 三方库之 gorm

    目录 一 初始化 二 增删改查示例 Save与Update区别 GORM中的钩子 GORM Context支持 GORM 与锁 GORM的预加载Preload与Joins 查询时优雅的处理动态条件 分页 gorm io plugin扩展包
  • 【DFS】1905. 统计子岛屿

    1905 统计子岛屿 解题思路 如果两个岛屿的点不一样 说明grid2这个岛屿一定不是子岛屿 然后淹没i j 以及相邻的土地 现在grid2 剩下的岛屿 全部都是子岛屿 计算岛屿的数量 dfs计算陆地数量 class Solution pu
  • java类本身自己,如何在数据库中使用自己的 Java 类?

    如何在数据库中使用自己的 Java 类 Java 语言比 SQL 更强大 Java 是一种面向对象的语言 因此它的指令 源代码 采用类的形式 要在数据库中执行 Java 应在数据库外编写 Java 指令并在数据库外将它们编译为已编译的类 字
  • 2022秋招笔试加面经合集,不区分公司,不定期更新

    9 9日mark 秋招陆陆续续开始 我自己的定位首先是国企然后是互联网企业 这里把面试和笔试整理下 攒人品 废话不多说开始 首先说一下简历吧 很多同学可能投后台 测试 算法都是一个简历 这样对自己来说是很方便 但是用通用的简历就会导致面试官
  • keil 4单片机程序的debug调试

    1 单击keil4窗口的调试按钮快捷图标 进入到软件模拟调试模式 如图所示 在软件调试模式下 可以设置断点 单步 全速 进入某个函数内部运行 还可以查看变量的变化过程 模拟硬件IO口电平变化 查看代码执行时间等 先了解一下调试按钮的功能 其
  • KMP算法详解(参考代码随想录)

    KMP算法详解 参考代码随想录 KMP的经典思想 当出现字符串不匹配时 可以记录一部分之前已经匹配的文本内容 利用这些信息避免从头再去做匹配 前缀表 前缀表是用来回退的 它记录了模式串与主串 文本串 不匹配的时候 模式串应该从哪里开始重新匹
  • 【每日一学】浮动IP

    在集群或者主备双机场景 对服务使用者而言期望的只有一个IP或域名 这个时候需要的就是浮动IP 一 主备实现 利用单个网卡绑定多个ip地址的技术和crontab自动执行技术 为主机的网卡多绑定一个静态ip 如124 158 26 32 这个地
  • MySQL锁定状态查看相关命令

    1 SHOW PROCESSLIST 显示哪些线程正在运行 只列出前100条 SHOW FULL PROCESSLIST 列出所有线程信息 如果您有SUPER权限 您可以看到所有线程 否则 您只能看到您自己的线程 也就是 与您正在使用的My
  • SpringBoot项目在logback.xml中读取配置中的日志路径问题

    一 问题 在SpringBoot项目 使用logback xml中配置日志的存储位置时 读取application properties或application yml中配置的路径 在logback xml中引用如下
  • PCB 经验

    1 CPU或是关键的IC放在PCB的board中间 目的是有足够空间布线 2 CPU和内寸之间走线一般要做等长走线 长度也要考虑是否够绕线 3 时钟芯片尽量靠近CPU 并远离其它敏感信号 4 CPU的复位电路尽量远离时钟以及其它高速信号 5