我正在使用 Quartus Prime Lite Edition,并且我想使用一元运算符nand像这样的 std_logic_vector
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity example1 is
port(
BIN : in std_logic_vector (7 downto 0);
result : out std_logic
);
end;
architecture Beh of example1 is
begin
result <= nand BIN;
end Beh;
我试着跟随this说明,已更改VHDL版本 under VHDL输入 in 编译器设置。仍然没有效果并得到:错误 (10500):lab2.vhd(16) 文本“nand”附近的 VHDL 语法错误;需要“(”,或标识符(“nand”是保留关键字),或一元运算符
Quartus Prime Lite 不支持 VHDL 2008。
https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)