无法使用 VHDL 2008 Quartus Prime 进行编译

2023-11-29

我正在使用 Quartus Prime Lite Edition,并且我想使用一元运算符nand像这样的 std_logic_vector

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity example1 is
    port( 
        BIN : in std_logic_vector (7 downto 0);
        result : out std_logic
    );
end;

architecture Beh of example1 is
begin
    
    result <= nand BIN;
        
end Beh;

我试着跟随this说明,已更改VHDL版本 under VHDL输入 in 编译器设置。仍然没有效果并得到:错误 (10500):lab2.vhd(16) 文本“nand”附近的 VHDL 语法错误;需要“(”,或标识符(“nand”是保留关键字),或一元运算符


Quartus Prime Lite 不支持 VHDL 2008。

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

无法使用 VHDL 2008 Quartus Prime 进行编译 的相关文章

  • 如何将两个 SSE 寄存器加在一起

    我有两个 SSE 寄存器 128 位是一个寄存器 我想将它们相加 我知道如何在其中添加相应的单词 例如我可以这样做 mm add epi16如果我在寄存器中使用 16 位字 但我想要的是类似的东西 mm add epi128 不存在 它将使
  • perf_event_open - 如何监视多个事件

    有谁知道如何设置perf event attr可以通过以下方式触发 PMU 监视多个 类型 事件的结构perf event open Like perf record e cycles faults ls 它有两种不同的事件类型 PERF
  • 对于尚未在缓存中的地址,CLFLUSH 如何工作?

    我们正在尝试使用 Intel CLFLUSH 指令在用户空间刷新 Linux 中进程的缓存内容 我们创建一个非常简单的 C 程序 首先访问一个大数组 然后调用 CLFLUSH 刷新整个数组的虚拟地址空间 我们测量 CLFLUSH 刷新整个数
  • Intel 64架构中CALLF(Far Call)可以有64位地址内存操作数吗?

    在 Intel 32 位架构中 我可以使用 ModR M 字节调用 32 位地址位置 根据英特尔手册 我需要 2 010B 用于操作码扩展 00B 用于 Mod 101B 允许我拥有 32 位位移 如果我想在 64 位架构中进行远调用 以便
  • VHDL - 分配默认值

    我有以下架构 architecture datapath of DE2 TOP is begin U1 entity work lab1 port map error on this line clock gt clock 50 key g
  • VHDL 中数组的硬件表示

    使用 VHDL 我想要一些寄存器 每个寄存器存储 16 位 所以我发现VHDL有一个内置数组 我想用它来存储iy中每个元素的16位 所以我想知道VHDL是否将此数组映射到实际寄存器 简短的回答是否定的 数组类型不映射到寄存器 长答案 VHD
  • 现在 x86 上有多少指令? [关闭]

    这个问题不太可能对任何未来的访客有帮助 它只与一个较小的地理区域 一个特定的时间点或一个非常狭窄的情况相关 通常不适用于全世界的互联网受众 为了帮助使这个问题更广泛地适用 访问帮助中心 help reopen questions 我正在尝试
  • 存储缓冲区是否保存现代 x86 上的物理地址或虚拟地址?

    现代 Intel 和 AMD 芯片大存储缓冲区 https stackoverflow com a 54880249 149138在提交到 L1 缓存之前缓冲存储 从概念上讲 这些条目保存存储数据和存储地址 对于地址部分 这些缓冲区条目是否
  • vhdl中process语句的顺序执行

    对于vhdl中的process语句 据说process语句内部的执行顺序是连续的 我的问题是 请先看下面的代码 a b和c信号在进程语句中的if语句中是同时还是顺序分配给它们的新值 process clk is begin if risin
  • VHDL - FSM 未启动(仅在时序仿真中)

    我正在写我的硕士论文 而且我对 VHDL 还很陌生 但我仍然必须实现一些复杂的东西 这是我必须编写的最简单的结构之一 但我仍然遇到了一些问题 它是一个 FSM 采用低电平有效同步信号 用于对 DAC 进行编程 实现 24 位移位寄存器 这只
  • 有没有比加0.5f并截断转换更直接的方法将float转换为int并进行舍入?

    在处理浮点数据的 C 代码中 从 float 到 int 的舍入转换相当频繁 例如 一种用途是生成转换表 考虑一下这段代码 Convert a positive float value and round to the nearest in
  • VHDL - 为什么直接在函数上使用长度属性会产生警告?

    我有一个 VHDL 函数 它返回记录的 std logic vector 表示形式 并且我想要该 std logic vector 的长度 我可以直接在函数上使用长度属性 为什么这会在 ModelSim 中产生警告 我是否会引发一些微妙的问
  • 学习 VHDL 的最佳方法? [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心 help reopen questi
  • vhdl代码(for循环)

    描述 我想编写 vhdl 代码来查找数组 A 中的最大整数 该数组是一个由 20 个整数组成的数组 问题 我的算法应该是什么样子 以输入顺序语句的位置 我的VHDL代码 highnum for i in 0 to 19 loop i 0 i
  • Simulink/HDL Coder 中的反馈循环

    我有一个 Simulink HDL 编码器系统 请参见下图 我有 3 个输出和 3 个输入 我希望我的系统运行 10 次 每次迭代后 它应该选择输出并将它们用作输入 我怎样才能做到这一点 使用存储器和每个信号的初始值块构建一个循环 内存块允
  • 仅使用 std_logic_vector 包将 std_logic_vector 与常量进行比较

    我仅在 VHDL 文件中使用以下包 library IEEE use IEEE STD LOGIC 1164 ALL 在代码中 我将 std logic vector 信号 A 与常量值进行比较 例如 if A lt 00001011 th
  • 在 Core i7 Mac 上禁用睿频加速?

    有没有什么方法可以在运行 Mac OS X 的 Core i7 mac 上以编程方式禁用 Turbo Boost 我需要能够在代码优化等过程中出于基准测试目的执行此操作 如果做不到这一点 任何可以禁用 启用 Turbo Boost 的实用程
  • FPGA 系统中的同步与异步复位

    我刚开始使用各种不同的模块创建 FPGA 系统来驱动 I2C 总线 尽管我认为这个问题适用于任何 FPGA 系统 并且所有模块都使用同步复位 这些模块使用时钟分频器模块进行计时 该模块获取系统时钟并向系统的其余部分输出较低的频率 我遇到的问
  • 在 x86 Intel VT-X 非根模式下,是否可以在每个指令边界传递中断?

    除了不将中断传送到虚拟处理器的某些正常指定条件 cli if 0 等 之外 客户机中的所有指令实际上都是可中断的吗 也就是说 当传入的硬件中断先传递给 LAPIC 然后传递给处理器时 据说会发生一些内部魔法 将其转换为虚拟中断给来宾 使用虚
  • VHDL 中的 if 语句

    我有一个关于 VHDL 中 if 语句的问题 请参见下面的示例 signal SEQ bit vector 5 downto 0 signal output bit if SEQ 000001 and CNT RESULT 111111 t

随机推荐

  • 如何在reactjs中显示多个标签?

    我制作了一张添加新用户卡 上面有一个加号 我制作了额外的卡片 在每张卡片上显示用户名 显示用户名的卡片使用以下方式显示 map 方法 现在 如果我将第 1 部分代码 见下文 插入到 map 功能后会显示多个添加新用户卡 我的最终目标是显示单
  • 当您使用内存覆盖前缀但所有操作数都是寄存器时会发生什么?

    当您使用内存覆盖前缀但所有操作数都是寄存器时会发生什么 那么 假设您编写了代码mov eax ebx or add eax ebx默认值为 32 位 但您使用 67h 覆盖 处理器如何处理这种情况 英特尔软件开发人员手册 第 2 卷 第 2
  • 如何在嵌套上下文中通过 __VA_OPT__ 扩展递归宏

    我读过了本文 这说明了如何 VA OPT 函数宏可用于递归扩展宏 我想实现类似的东西 不同之处在于宏是在嵌套上下文中扩展的 输入 NEST RECURSIVE A B C 应该产生 顺序无关 C B A 我的方法稍微概括了这篇文章 defi
  • 我们可以在 Route 53 AWS DNS 服务中创建多少个子域?

    在我的应用程序中 我为每个帐户提供一个子域 如 user domain com 因此 我计划使用 AWS Route 53 将子域路由到我的应用程序 Amazon Route 53 中的子域是否有最大限制 提前致谢 我给出了一个子域 例如u
  • pandas 数据框中的数据透视表

    我有一个要求 我试图计算值并将它们放入数据透视表中 这是我的数据框 Cola Colb Apple Rippened Orange Rippened Apple UnRippened Mango UnRippened 我希望输出是这样的 R
  • 使用谷歌地图 API 从 iPhone 进行反向地理编码纬度/经度

    我目前正在使用谷歌地图的反向地理编码 API 将从 iPhone 的 CoreLocation API 接收到的经 纬度转换为谷歌应用程序引擎服务器上的城市 州信息 这会被视为违反条款吗 我做了一些研究 但找不到这个问题的直接答案 现在 我
  • 合并重叠区间

    目前 我的间隔时间为 temp tuple 25 14 21 16 20 15 10 7 8 5 6 3 2 4 2 3 3 6 12 15 13 18 14 17 22 27 25 30 26 29 按下限升序排列 我的任务是合并重叠的间
  • 在 Jersey 1.19.1 上禁用 WADL 生成

    我在一个带有 Java Jboss 的 Web 项目中使用 Jersey 1 19 1 每次我从 Web 服务请求某些内容时 它都会在 server log 上显示此条目 ERROR STDERR com sun jersey server
  • Android 上未找到类异常

    我从网上得到了一些酸味 并导入到我的工作区 当我在模拟器上运行时 它会抛出 05 28 17 30 47 895 E AndroidRuntime 840 java lang RuntimeException Unable to insta
  • Yajra Laravel 数据表 - 合并列值

    我已将 Yajra Datatables 包含在我的 Laravel 项目中并且工作正常 问题是我需要合并 3 个列值并将它们显示为 1 并允许同时搜索 我怎么做 我能够使用渲染功能并在单列中显示数据 但那么如何搜索呢 Mysql 表示例
  • 使用 InnerJoin Firebird 删除语句

    我创建此 select 语句是为了查找要删除的重复行 我虽然只是将 SELECT TO DELETE 更改为它会删除行 但事实并非如此 这是选择语句 select FROM MYCARD T1 INNER JOIN SELECT IDCAR
  • Delphi - 恢复 DBGrid 中的实际行

    D6 prof 以前我们使用DBISAM 和DBISAMTable 它处理 RecNo 并且可以很好地进行修改 删除 编辑等 现在我们用 ElevateDB 替换 它不处理 RecNo 而且很多时候我们使用查询 而不是表 必须重新打开查询才
  • 如何在 Rust WASI 中链接 C 库

    我想在我的 rust wasi 程序中使用 C 库 但我在链接外部库时遇到问题 我当前的设置是这样的 main rs link name mylib extern C pub fn add one i i32 gt i32 pub fn m
  • C-检查输入(浮点数)是纯整数还是浮点数

    我想检查用户输入是纯整数还是浮点数 我试图通过使用来做到这一点floor and ceilf并将这些值与函数中的原始 x 值进行比较 然而 这似乎有点问题 因为对于某些数字 如 5 5 该函数不断返回 0 而不是 1 当floor 5 5
  • Javascript:单词到数字[关闭]

    Closed 这个问题需要多问focused 目前不接受答案 如何在 JavaScript 中将单词转换为数字 示例 从现在起 19 天 将变为 从现在起 19 天 我可以使用 jQuery 或其他库 如果不是 jQuery 希望是一个较小
  • Elasticsearch中的聚合+排序+分页

    我需要在其中一个索引中进行聚合 排序 分页 我了解了 Elastic search 的内部功能 我总共有 5 个分片 它将对各个分片进行排序并获取结果 默认情况下每个分片将返回 10 条记录 然后这 50 条记录再次排序 它将获取前 10
  • 存储应用程序参数的最佳位置在哪里:数据库、文件、代码...?

    我正在开发一个 Ruby on Rails 网站 我有一个 架构 问题 我的应用程序需要一些参数 我想知道在哪里存储它们 具体而言 我的应用程序收到一些请求 这些请求经过评估然后发送 因此 请求模型必须具有与这些处理相关的属性 验证状态 a
  • 使用正则表达式将单引号替换为双引号

    我有一个应用程序收到了格式错误的 JSON 字符串 如下所示 username xirby 我需要替换单引号 带双引号 有了这些规则 我认为 单引号位于 有一个或多个空格 出现在一个或多个空格之前并且 出现在 多一个空格 出现在一个或多个空
  • Xcode 13 AppleScript 框架无法将 UI 连接到 Applescript 代码

    尝试使用 Xcode 13 和 AppleScript 框架为 MacOS 11 5 创建 AppleScript 可执行文件 开箱即用 我没有进行任何处理 IB 显示屏上的委托图标并不指向默认的 AppleScript 代码 这是很清楚的
  • 无法使用 VHDL 2008 Quartus Prime 进行编译

    我正在使用 Quartus Prime Lite Edition 并且我想使用一元运算符nand像这样的 std logic vector library ieee use ieee std logic 1164 all use ieee