硬核 | 从零制作一个激光雷达需要多久?

2023-12-16

编辑 | ADS智库

点击下方 卡片 ,关注“ 自动驾驶之心 ”公众号

ADAS巨卷干货,即可获取

点击进入→ 自动驾驶之心【硬件交流】技术交流群

本文只做学术分享,如有侵权,联系删文

激光雷达(LiDAR)是激光探测及测距系统的简称,目前广泛应用在无人驾驶和扫地机器人等领域。这种广泛的应用一方面得益于激光雷达的性能提升,一方面也得益于其成本的下降。

根据扫描方式,激光雷达可分为 MEMS 型、Flash 型、相控阵型、机械旋转型;根据线数,可分为单线型和多线型:

0a93bb134610c1e0d29403720856e892.jpeg

话说回来,有没有可能自制一个激光雷达?B 站的一位硬核 UP 主「不想宅的技术宅」还真就把这个小目标实现了。

UP 主用了大半年的业余时间,用一个激光测距传感器整出了一个单线机械旋转式激光雷达,总共包括硬件设计、结构设计、FPGA 开发和 3D 打印几个步骤。对于 UP 主来说,这不算是新的挑战,只能算是「把以前学过的东西复习了一遍」:

4ddec0e727cee47290b40be779d145fd.jpeg

虽然 UP 主很谦虚,但很多人播放完视频之后,只能表示「不懂,但受到震撼」:

eef7dcebf44866a22d9541e311c98fba.jpeg

做一个激光雷达,需要哪些基本部件?

UP 主选用了一款 FPGA 开发板,主芯片为 Xilinx ZYNQ7000,板上搭载了一个最高可输出 1080p60 帧视频的 HDMI 接口、32 个 GPIO(通用输入输出接口)等其他外设。在这块开发板上,将要完成雷达数据的采集、运算和显示。

880b647a8082c9e4c536d4900f6d9cd6.jpeg

此外还有一个直流减速机,额定电压为 12V,减速比为 1:30,最大输出转速为 300 转每分钟,电机尾部安装了 500 线的光电编码器,通过光电编码器可以获知输出轴的转动角度。

a261536baad73723e27179e46daee689.jpeg

最后,还有一个激光测距传感器,测距精度为 1cm,量程为 12m,每秒钟可以测量 1000 次,输出接口为串口。

657132b3d7143bdd9887010824386ca3.png

这些就是自制激光雷达所需要的基本部件,然后就是结构设计的问题了。

结构设计

UP 主表示,激光雷达在工作时,探头需要连续旋转,因此探头和底座的信号传输无法用导线连接,否则会引发缠绕问题,他通过导电滑环解决了这个问题。导电滑环内部是一组电刷,可以解决信号线在旋转情况下的缠绕问题:

295b7916300fe83c6200a6d12a2b92eb.jpeg

c6232c7ffcef4625d78fef869b777f42.jpeg

如此,激光雷达就设计好了:

eef370b8771c11e7626d064fedc5caa0.jpeg

整个激光雷达分为底座和探头,探头和底座通过旋转轴进行连接,激光测距传感器通过螺丝固定在探头基座上。

80ddff50ad64b8d3e9f56d3458db3640.gif

探头基座内部还固定有转子 PCB,底座部分固定有导电滑环、电机和定子 PCB。

b81a6fc8cd20b0fd94ce01b6a8e61d74.jpeg

在实际装配时,电机输出轴和导电滑环和旋转中心因为误差关系大概率不会处于同一轴心上,这里使用了一个弹性连轴器来补偿轴向偏差:

f1e6c7bd03e69309fd509ae144fb8577.jpeg

探头和底座之间设计了一对红外对管,用来确定探头转动的初始位置:

4dea60bb0c960fb90033fa3bdf60faaa.jpeg

至此,结构设计就完成了。随后将设计好的结构件在 3D 打印软件中添加支撑,然后切片,最后通过 3D 打印机打印出来。打印好的探头基座、探头盖和底座就是这样:

73aa1029f01487cce3accd9d2816258b.jpeg

硬件电路设计

整体框架如图所示,包含定子 PCB 和转子 PCB:

88fa3b60f5783871534ce46648545d3a.jpeg

下图是整个电源以及隔离设计的框图,整个电机控制部分和其他电路没有实际的连接,电机在工作时不会干扰其他电路:

2c69feed8a312dcbe0be7e4e3f6c3989.jpeg

再之后是 PCB 设计:

586273916f514ad81ec88181d2928299.jpeg

6d14f6d661005b32860e9adf9e942271.jpeg

一番装配之后(此处省略一万个步骤),激光雷达就做好了:

de7970f53de1b58d4fc771ad3f2162ba.jpeg

激光雷达和 FGPA 之间通过排线进行通信。在软件设计上,分为两部分:PS 侧的嵌入式开发,以及 PL 侧的 FPGA 开发,相比之下,PL 侧的开发显得比较复杂。整体框图如下:

da2ace678d882665609ff1910507f949.jpeg

而主要的难度恰恰在于 FPGA 部分。UP 主表示:「要把雷达数据叠加在视频数据流上,费了我不少脑细胞。」

最后,看下实际运行效果

受限于激光测距传感器测量频率,探头转动一圈采集 500 个点的数据,所以激光雷达的扫描频率只能做到 2Hz 每秒。

为了视觉效果,UP 主加上了雷达扫描线,最终实现的效果还是不错的:

3e53f594318f84ae1ca874b057685932.jpeg

① 全网独家视频课程

BEV感知 、毫米波雷达视觉融合 多传感器标定 多传感器融合 多模态3D目标检测 点云3D目标检测 目标跟踪 Occupancy、 cuda与TensorRT模型部署 协同感知 语义分割、 自动驾驶仿真、 传感器部署、 决策规划、轨迹预测 等多个方向学习视频( 扫码即可学习

e692757072b8c580c049e6553ab7bff3.png 视频官网:www.zdjszx.com

② 国内首个自动驾驶学习社区

近2000人的交流社区,涉及30+自动驾驶技术栈学习路线,想要了解更多自动驾驶感知(2D检测、分割、2D/3D车道线、BEV感知、3D目标检测、Occupancy、多传感器融合、多传感器标定、目标跟踪、光流估计)、自动驾驶定位建图(SLAM、高精地图、局部在线地图)、自动驾驶规划控制/轨迹预测等领域技术方案、AI模型部署落地实战、行业动态、岗位发布,欢迎扫描下方二维码,加入自动驾驶之心知识星球, 这是一个真正有干货的地方,与领域大佬交流入门、学习、工作、跳槽上的各类难题,日常分享论文+代码+视频 ,期待交流!

b0d4df270727d3883c3eab542a06ad7e.png

③【自动驾驶之心】技术交流群

自动驾驶之心是首个自动驾驶开发者社区,聚焦 目标检测、语义分割、全景分割、实例分割、关键点检测、车道线、目标跟踪、3D目标检测、BEV感知、多模态感知、Occupancy、多传感器融合、transformer、大模型、点云处理、端到端自动驾驶、SLAM、光流估计、深度估计、轨迹预测、高精地图、NeRF、规划控制、模型部署落地、自动驾驶仿真测试、产品经理、硬件配置、AI求职交流 等方向。扫码添加汽车人助理微信邀请入群,备注:学校/公司+方向+昵称(快速入群方式)

2dffa3b966d94a145da3f3529eb55731.jpeg

④【自动驾驶之心】平台矩阵, 欢迎联系我们!

81ce700ced4b609f4803ab440078d02e.jpeg

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

硬核 | 从零制作一个激光雷达需要多久? 的相关文章

  • 数字芯片流程

    芯片设计分为前端设计和后端设计 前端设计 逻辑设计 和后端设计 物理设计 并没有同意严格的界限 这个过程中涉及到了与工艺有关的设计就是后端设计 一 需求分析 产品需要解决的问题 预测3 5年的趋向和走势 确保前瞻性 确保芯片是有卖点的 客户
  • MIPI D-PHY介绍(二) FPGA

    MIPI D PHY介绍 二 FPGA 随着移动设备的广泛普及 MIPI D PHY作为其最主要的物理层标准之一 被越来越多地使用在各种嵌入式系统中 本文将详细介绍MIPI D PHY的工作原理和在FPGA设计中的实现方法 MIPI D P
  • PLL时钟约束

    方法 1 自动创建基时钟和 PLL 输出时钟 例 derive pll clocks 这一方法使您能够自动地约束 PLL 的输入和输出时钟 ALTPLL megafunction 中指定的 所有 PLL 参数都用于约束 PLL 的输入和输出
  • Verilog HDL——分频 计数

    分频 计数 module traffic Clk 50M Rst Clk30 Clk 1Hz input Clk 50M Rst output Clk30 Clk 1Hz 分频器 reg Clk 1Hz 分频器 50M分频 reg 31 0
  • FPGA零基础学习之Vivado-UART驱动教程

    FPGA零基础学习之Vivado UART驱动教程 本系列将带来FPGA的系统性学习 从最基本的数字电路基础开始 最详细操作步骤 最直白的言语描述 手把手的 傻瓜式 讲解 让电子 信息 通信类专业学生 初入职场小白及打算进阶提升的职业开发者
  • 手把手教你Modelsim仿真【2020.4版本】

    首先新建一个文件夹 test5 打开Modelsim 依次选择 File gt Change Directory 把目录选择到创建的 test5 文件夹 创建库 依次选择 File gt New gt Library 一般我们选择第三个 库
  • 用Vscode编辑verilog代码配置

    这篇教程感觉很详细了 我这里分享一下vscode和插件的安装包链接 都是官网下载的 放心食用 用VSCode编辑verilog代码 iverilog编译 自动例化 自动补全 自动格式化等常用插件 链接 https pan baidu com
  • MOS管的知识,看这一篇就可以了

    转载 21ic电子网 2020 11 15 18 19 以下文章来源于记得诚电子设计 作者记得诚 记得诚电子设计 分享电子硬件知识 永远相信美好的事情即将发生 今天的文章简单总结一下MOS管 如下是本文目录 场效应管分类 场效应管分为结型
  • 小梅哥Xilinx FPGA学习笔记9——语法(阻塞与非阻塞赋值)

    阻塞赋值与非阻塞赋值详解 注意 阻塞赋值 1 设计文件 2 激励文件 3 原理图 4 仿真图 非阻塞赋值 1 设计文件 2 激励文件 3 原理图 4 仿真图 注意 阻塞赋值与非阻塞赋值 只有在时序逻辑中才有 不是阻塞赋值 也不是非阻塞赋值
  • 八、RISC-V SoC外设——GPIO接口 代码讲解

    前几篇博文中注释了RISC V的内核CPU部分 从这篇开始来介绍RISC V SoC的外设部分 另外 在最后一个章节中会上传额外添加详细注释的工程代码 完全开源 如有需要可自行下载 目录 0 RISC V SoC注解系列文章目录 1 结构
  • 八段数码管动态显示(输入数据为BCD编码)

    八段数码管动态显示 输入数据为BCD编码 一 数码管概述 图1 八段共阴数码管内部等效原理图 图2 八段共阳数码管内部等效原理图 上面两图分别是对应八段共阴 共阳的数码管内部等效图 共阴是将八个LED数码管的阴极连接在一起接低 阳极segm
  • 【DDR3 控制器设计】(3)DDR3 的写操作设计

    写在前面 本系列为 DDR3 控制器设计总结 此系列包含 DDR3 控制器相关设计 认识 MIG 初始化 读写操作 FIFO 接口等 通过此系列的学习可以加深对 DDR3 读写时序的理解以及 FIFO 接口设计等 附上汇总博客直达链接 DD
  • 【Xilinx Vivado时序分析/约束系列4】FPGA开发时序分析/约束-实验工程上手实操

    目录 建立工程 添加顶层 模块1 模块2 添加约束文件 编辑时钟约束 打开布线设计 代码代表的含义 时序报告 进行时序分析 Summary 包含了汇总的信息量 Source Clock Path 这部分是表示Tclk1的延时细节 Data
  • BUCK电路分析(二)

    BUCK电路分析 二 PSIM仿真同步BUCK电路 在上片文章中 初步的分析了BUCK电路的工作原理 本章使用PSIM软件仿真BUCK电路 观察分析BUCK电路器件关键波形 图1是同步BUCK电路图 开关频率设置为200K 固定占空比 在仿
  • FPG—VGA显示器字符显示(附代码)

    目录 1 实操 1 1 字符取模 1 2 顶层模块 1 3 图像数据生成模块 1 3 1 模块框图 1 3 2 波形图绘制 1 3 3 代码编写 1 3 4 仿真验证 2 总结 本例程大部分与VGA显示驱动内容相同 只是显示部分改变了 故此
  • [从零开始学习FPGA编程-28]:进阶篇 - 基本组合电路-奇偶校验生成器(Verilog语言版本)

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 目录 第1章 奇偶校验生成器 1 1 什么是奇校验 1 2 Verilog语言描述
  • 蓝桥杯真题:迷宫

    目录 题目描述 运行限制 dfs bfs 结果 题目描述 本题为填空题 只需要算出结果后 在代码中使用输出语句将所填结果输出即可 下图给出了一个迷宫的平面图 其中标记为 11 的为障碍 标记为 00 的为可以通行的地方 010000 000
  • ALLEGRO等长时如何将PIN DELAY和VIA长度计算在内

    在PCB设计中 对于时序要求严格的线路 Via和IC pin delay的长度必须得到重视 通过下面的操作 可将Via和Pin delay加入到线路长度的计算中 1st 计算Pin delay 打开Constraint Manager 选择
  • 串口通信知识点总结

    串口是串行接口 serial port 的简称 也称为串行通信接口或COM接口 串口通信是指采用串行通信协议 serial communication 在一条信号线上将数据一个比特一个比特地逐位进行传输的通信模式 串口按电气标准及协议来划分
  • MINI-UTDE 10 BASE-T 集成控制器

    MINI UTDE 10 BASE T 集成控制器 MINI UTDE 10 BASE T 拥有多达三个本地I O板和远程I OS总线通信 为用户提供了一系列生产单元功能的单一控制点 包括诸如夹头 反馈器和辅助机器等外围生产设备 支持所有主

随机推荐

  • 【图对比学习】GACN:使用对抗网络增强图对比学习

    论文题目 Graph Contrastive Learning with Generative Adversarial Network 使用对抗网络增强图对比学习 论文地址 https dl acm org doi pdf 10 1145
  • Redis基础系列-持久化

    Redis基础系列 持久化 文章目录 Redis基础系列 持久化 1 什么是持久化 2 为什么要持久化 3 持久化的两种方式 3 1 持久化方式1 RDB redis默认持久化方式
  • 毕业设计|Python网络爬虫与推荐算法的新闻推荐平台

    作者简介 Java领域优质创作者 CSDN博客专家 CSDN内容合伙人 掘金特邀作者 阿里云博客专家 51CTO特邀作者 多年架构师设计经验 腾讯课堂常驻讲师 主要内容 Java项目 Python项目 前端项目 人工智能与大数据 简历模板
  • 针对企业数字化转型有哪些建议?

    聊起数字化转型 大部分亲历者感受都是一样的 痛 难 贵 痛在哪儿 战略部署痛 难在哪儿 能力建设难 贵在哪儿 价值显现贵 战略部署考验企业一把手的远见和魄力 能力建设考验员工的数字化素养和学习能力 价值显现则考验企业真金白金砸钱的能力 那么
  • 期末备考 |《计算机网络》期末备考资料包来啦!

    写在前面 不知不觉又到了学期的末尾 不知道各位计算机er的 专业课复习得怎么样了呢 为了帮助大家更好地 备战期末 从今天开始 岛主将持续为大家更新 计算机期末备考资料 为同学们的绩点护航 今天岛主为大家带来的是 计算机网络 期末备考资料包
  • CS保研er寒假有必要实习吗?做哪些实习比较好?

    写在前面 随着时间来到12月 也意味 离寒假已经不远了 许多计算机er忙了一学期已经开始憧憬寒假的生活 但也有一部分人没有选择放松 而是做好了规划准备实习 那么 对于计算机er 参加实习对保研吗 做哪些实习更好呢 今天岛主就来跟大家聊一聊
  • QTNet:最新时序融合新方案!点云、图像、多模态检测器全适用(NeurIPS 2023)...

    点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 gt gt 点击进入 自动驾驶之心 多传感器融合 技术交流群 论文作者 Jinghua Hou 编辑 自动驾驶之心 写在前面 个人理解 时序融合能够有效提升自动驾驶3D
  • 免费白嫖GPU算力,畅玩大模型的算力平台!

    要做深度学习 必然需要 GPU 如何构建一个弹性的 GPU 环境是很多读者关心和常问的问题 今天给大家分享 GPU 平台 趋动云 正好官方有活动 新用户 有 价值168元的赠送算力 有效期1年 数量有限先到先得 领取方式见文末 学校课题组没
  • ​NeurIPS 2023|RayDF:实时渲染!基于射线的三维重建新方法

    编辑 极市平台 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 点击进入 自动驾驶之心 NeRF 技术交流群 本文只做学术分享 如有侵权 联系删文 导读 本论文提出一个全新的维护了多视角几何一致性的基于射线的隐式表达
  • Far3D:直接干到150m,视觉3D目标检测新思路(AAAI2024)

    点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 gt gt 点击进入 自动驾驶之心 3D目标检测 技术交流群 论文作者 自动驾驶Daily 编辑 自动驾驶之心 近来在 Arxiv 读到一篇纯视觉环视感知的新工作 它延
  • 未来之路:大模型技术在自动驾驶的应用与影响

    作者 一颗小树x 编辑 汽车人 原文链接 https zhuanlan zhihu com p 666863252 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 点击进入 自动驾驶之心 大模型 技术交流群 本文只做
  • 年后跳槽机会多吗?什么时候准备可以实现弯道超车!

    不知不觉2023年即将迎来尾声 秋招也基本上结束了 这几个月汽车人分享了很多自动驾驶和CV方向的工作 像理想 华为的薪资更是羡煞旁人 对于秋招不是很满意的同学和年后打算跳槽的小伙伴 现在可以着手准备起来春招和年后跳槽了 这里也分享一些汽车人
  • 高质量的3D资产如何生成?X-Dreamer或是答案!

    作者 马祎炜 编辑 我爱计算机视觉 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 点击进入 自动驾驶之心 全栈算法 技术交流群 本文只做学术分享 如有侵权 联系删文 本篇分享论文 X Dreamer Creatin
  • Coco-LIC:紧耦合激光雷达-惯性相机里程计SOTA方案

    点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 gt gt 点击进入 自动驾驶之心 SLAM 技术交流群 论文作者 Xiaolei Lang 编辑 自动驾驶之心 笔者个人理解 机器人在弱结构化 弱纹理环境中的状态估计
  • 超越BEV视角 | 新型紧凑占用Transformer助力自动驾驶3D占用预测

    作者 小书童 编辑 集智书童 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 点击进入 自动驾驶之心 占用栅格 技术交流群 本文只做学术分享 如有侵权 联系删文 自动驾驶社区对3D占用预测表现出显著兴趣 这主要得益于
  • RV融合!自动驾驶中基于毫米波雷达视觉融合的3D检测综述

    编辑 汽车人 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 点击进入 自动驾驶之心 多传感器融合 技术交流群 本文只做学术分享 如有侵权 联系删文 自主驾驶在复杂场景下的目标检测任务至关重要 而毫米波雷达和视觉融合
  • 自动驾驶从业者顶流学习笔记,值得收藏!

    自动驾驶之心的兄弟号 自动驾驶Daily后面将会正式投入运营使用 主要关注行业 最新技术分享等 第一次介绍 自动驾驶Daily为大家整理了多个领域方向的数据集 综述 经典论文 视频教程 供大家学习 主要涉及目标检测 语义分割 全景 实例分割
  • 收官,图森即将关闭美国业务

    作者 卡车技术前线 编辑 智车科技 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 本文只做学术分享 如有侵权 联系删文 年末将至 非常遗憾 我们年初的预测成为了现实 自动驾驶卡车创企将面临前所未有的困难 即将进入优
  • 清华大学 | 智能产业研究院自动驾驶冬令营学员招募!

    点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 gt gt 点击进入 自动驾驶之心 求职交流 技术交流群 论文作者 汽车人 编辑 自动驾驶之心 AIR 自动驾驶方向冬令营 在这个冬季 清华大学智能产业研究院 AIR
  • 硬核 | 从零制作一个激光雷达需要多久?

    编辑 ADS智库 点击下方 卡片 关注 自动驾驶之心 公众号 ADAS巨卷干货 即可获取 点击进入 自动驾驶之心 硬件交流 技术交流群 本文只做学术分享 如有侵权 联系删文 激光雷达 LiDAR 是激光探测及测距系统的简称 目前广泛应用在无