基于FPGA的简易BPSK和QPSK

2023-12-20

1、框图
在这里插入图片描述
2、顶层
在这里插入图片描述
在这里插入图片描述
3、m_generator M序列的生成,输出速率为500Kbps
在这里插入图片描述
在这里插入图片描述
4、S2P是串并转换模块
将1bit的m序列转换到50M时钟下的2bit M序列数据(就有4个象限);
在这里插入图片描述
在这里插入图片描述

5、my_pll是生成256M的时钟作为载波,因为sin和cos信号的ROM做了256个点;

6、ROM是生成BPSK,只有0和π两个点

7、ROM1是生成QPSK,128个点要输出2M的速率,那么采样的时钟得为256M,所以锁相环生成256M。sin_128x10b_wave.txt可由matlab生成。
QPSK有4个相位,分别是00:1π/4,01:3π/4,11:5π/4,10:7π/4
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
8、仿真
在这里插入图片描述

下面是测得码元为500kbps
在这里插入图片描述

载波波频测得为2M
在这里插入图片描述

测得采样率是16M
在这里插入图片描述

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

基于FPGA的简易BPSK和QPSK 的相关文章

  • 输入延时(Input Delay)与输出延时(Output Delay)

    一 设置输入延时 Input Delay 1 不同的路径需要使用不同的约束 2 输入延时的定义 由下图可以看出Input Delay是以上游芯片的时钟发送沿为参考 上游的输出数据到达FPGA的外部输入端口之间的延迟 输入延迟 input d
  • win10下安装vivado 2018.3之后ise14.7 的impact 无法使用

    软件版本号 操作系统win10 ise14 7 vivado 2018 3 ise14 7 在win10里面问题总结 1 ise14 7 闪退问题 比较好解决 论坛上比较多的解决方法 2 ise 14 7 windows 10 版本的ise
  • 采用Vivado 配置xilinx GTX的SATA设计

    从Vivado开始 配置GTX的时候 多了一个SATA协议支持 但有些小地方还需要自己另外设置 整理了一下 分享给大家 首先打开Transceivers wizard 打开页签 线速率和参考时钟选择 在协议里面选择SATA2或者SATA3
  • JESD204B(RX)协议接口说明。

    解释一下Vivado IP协议中的Shared Logic in Example 与 Shared Logic in Core 首先 什么是Shared Logic 字面意思很好理解 就是共享逻辑 主要包括时钟 复位等逻辑 当选择Share
  • 【FPGA】面试问题及答案整理合集

    面试问题及答案整理合集 1 硬件描述语言和软件编程语言的区别 2 FPGA选型问题 3 建立时间和保持时间问题 3 亚稳态问题 4 竞争和冒险问题 5 乒乓操作问题 6 同步和异步逻辑电路 7 同步复位和异步复位 8 MOORE 与 MEE
  • 【Xilinx Vivado时序分析/约束系列4】FPGA开发时序分析/约束-实验工程上手实操

    目录 建立工程 添加顶层 模块1 模块2 添加约束文件 编辑时钟约束 打开布线设计 代码代表的含义 时序报告 进行时序分析 Summary 包含了汇总的信息量 Source Clock Path 这部分是表示Tclk1的延时细节 Data
  • 【数字IC】从零开始的Verilog SPI设计

    从零开始的Verilog SPI协议设计 一 写在前面 1 1 协议标准 1 2 数字IC组件代码 1 3 设计要求 1 4 其他协议解读 1 4 1 UART协议 1 4 2 SPI协议 1 4 3 I2C协议 1 4 4 AXI协议 二
  • FPG—VGA显示器字符显示(附代码)

    目录 1 实操 1 1 字符取模 1 2 顶层模块 1 3 图像数据生成模块 1 3 1 模块框图 1 3 2 波形图绘制 1 3 3 代码编写 1 3 4 仿真验证 2 总结 本例程大部分与VGA显示驱动内容相同 只是显示部分改变了 故此
  • FPGA学习笔记(一)__电平知识

    常见电平标准 文章目录 1 TTL电平标准 2 LVTTL电平标准 1 LVTTL3V3 2 LVTTL2V5 3 CMOS电平标准 4 LVCOMS电平标准 1 LVCOMS3V3 2 LVCOMS2V5 3 LVCOMS1V8 4 LV
  • FPGA Lattice Diamond 开发环境搭建

    FPGA Lattice Diamond 开发环境搭建 Lattice Diamond 软件下载 在浏览器中输入 Lattice 的官网地址 http www latticesemi com 进入官网首页在上方选择产品系列选项 出现如下图所
  • 【电子技术】什么是LFSR?

    目录 0 前言 1 数学基础 1 1 逻辑异或 1 2 模2乘法 和 模2除法 2 线性反馈移位寄存器LFSR 3 抽头和特征多项式 4 阶线性反馈移位寄存器实例 0 前言 线性反馈移位寄存器 Linear Feedback Shift R
  • FPGA功耗估计(二)

    针对于Altera的Cyclone III 做出了静态功耗 对于Altera 其提供了一个功耗早期估计工具 可以在官网上下到 首先需要将宏设置为安全 在excel选型中选择文件 之后便可看到 根据相应的选择 红框部分 可以查看静态功耗 对于
  • 在vhdl中生成随机整数

    我需要在 vhdl 中生成 0 1023 之间的随机整数 但是我在互联网上找不到这方面的好资源 请问有人帮我吗 下面是生成范围 0 1023 内均匀 均匀 分布的整数的示例 请注意 floor必须在与最大值 1 相乘之后使用运算 在本例中为
  • 串口通信知识点总结

    串口是串行接口 serial port 的简称 也称为串行通信接口或COM接口 串口通信是指采用串行通信协议 serial communication 在一条信号线上将数据一个比特一个比特地逐位进行传输的通信模式 串口按电气标准及协议来划分
  • 基于FPGA的简易BPSK和QPSK

    1 框图 2 顶层 3 m generator M序列的生成 输出速率为500Kbps 4 S2P是串并转换模块 将1bit的m序列转换到50M时钟下的2bit M序列数据 就有4个象限 5 my pll是生成256M的时钟作为载波 因为s
  • 用于 Verilog 或 SystemVerilog 的 TAP(测试任何协议)模块

    是否有 TAP 测试任何协议 http testanything org Verilog 的实现 那就太好了 因为这样我就可以使用证明来自动检查我的结果 更新 10 9 09 有人问为什么不使用断言 部分 TAP 为我提供了一些很好的报告
  • 如何生成异步复位verilog总是阻塞凿子

    Chisel 始终生成敏感度列表中仅包含时钟的块 always posedge clk begin end 是否可以将模块配置为使用异步重置并生成这样的始终块 always posedge clk or posedge reset begi
  • TRICONEX MA2211-100 芯片上相互连接

    TRICONEX MA2211 100 芯片上相互连接 TRICONEX MA2211 100 所有相同的组件 io的电源 处理器 和内存将需要 但是 你可以看到所有这些带存储器和处理器的OO板 针不能嵌入到一个小的单片机上 现在是 普拉克
  • DSCA190V 57310001-PK

    DSCA190V 57310001 PK DSCA190V 57310001 PK 具有两个可编程继电器功能 并安装在坚固的 XP 外壳中 DSCA190V 57310001 PK 即可使用 只需最少的最终用户校准 DSCA190V 573
  • 在 Verilog 程序中使用连续分配?

    在 Verilog 程序中使用连续赋值是否可能和 或有用 例如 是否有任何理由将assign里面一个always堵塞 例如这段代码 always begin assign data in Data end 此外 是否可以用这种方法生成顺序逻

随机推荐

  • Java 基础学习(十三)集合框架、List集合

    1 集合框架 1 1 Collection 1 1 1 集合框架概述 Java 集合框架是一组实现了常见数据结构 如列表 树集和哈希表等 的类和接口 用于存储一组数据 开发者在使用Java的集合类时 不必考虑数据结构和算法的具体实现细节 根
  • 收单外包服务机构的评级工作已经开始了!

    评级收单外包服务机构的工作不仅是金融监管部门规范和引导收单外包服务市场的重要举措 也影响着外包机构的业务发展 并且是每年最重要的合规工作之一 中国支付清算协会于2023年12月18日发布了一份关于进行2023年度收单外包服务机构评级工作的通
  • python之pyQt5实例:鼠标创建点

    实现一个基于PyQt5的画布 可以通过鼠标左键点击的方式创建点 并在画布中显示出来 from PyQt5 QtWidgets import QApplication QWidget QMainWindow QInputDialog from
  • yolov5障碍物识别-雪糕筒识别(代码+教程)

    简介 这是一个检测交通锥并识别颜色的项目 我使用 yolov5 来训练和检测视锥细胞 此外 我使用 k 均值来确定主色 以对锥体颜色进行分类 目前 支持的颜色为红色 黄色 绿色和蓝色 其他颜色被归类为未知 数据集和注释 我使用了一个自收集的
  • 软件测试/测试开发|如何在Ubuntu系统中安装docker

    Docker是一种流行的容器化平台 它能够简化应用程序的部署和管理 本文将介绍在Ubuntu操作系统上安装Docker的步骤 以便我们可以开始使用Docker来构建和运行容器化应用程序 系统版本 本文以Ubuntu20 05系统为例安装do
  • C语言—每日选择题—Day55

    第一题 1 若有如下定义 则 p1 m p2 p1 是正确赋值语句 说法是否正确 int p1 int p2 int m 5 int n A 正确 B 错误 答案及解析 A 本题考查的是对类型的认识 p1是指针类型 赋值的右操作数就必须是地
  • 自然语言处理初学者指南(附1000页的PPT讲解)

    自然语言处理是计算机科学领域和人工智能领域的重要研究方向之一 旨在探索实现人与计算机之间用自然语言进行有效交流的理论与方法 它融合了语言学 计算机科学 机器学习 数学 认知心理学等多学科内容 涉及从字 词 短语到句子 段落 篇章的多种语言单
  • nodejs微信小程序+python+PHP的大学生社会实践申报系统-计算机毕业设计推荐

    系统主要包括首页 个人中心 项目分类管理 学生管理 教师管理 学生活动申报管理 社会实践活动管理 活动报名申请管理 系统管理等功能模块 根据需求分析制定模块并设计数据库结构 大学生社会实践申报系统的需求和管理上的不断提升 大学生社会实践申报
  • nodejs+vue+微信小程序+python+PHP协同过滤算法的电影推荐系统-计算机毕业设计推荐python

    信息数据的处理完全依赖人工进行操作 会耗费大量的人工成本 特别是面对大量的数据信息时 传统人工操作不仅不能对数据的出错率进行保证 所以电子化信息管理的出现就能缓解以及改变传统人工方式面临的处境 一方面可以确保信息数据在短时间被高效处理 还能
  • 学术编辑青睐《乡村振兴战略下传统村落文化旅游设计》期货刊印辉少许

    学术编辑青睐 乡村振兴战略下传统村落文化旅游设计 期货刊印辉少许 学术编辑青睐 乡村振兴战略下传统村落文化旅游设计 期货刊印辉少许
  • 力扣面试题 16.19. 水域大小(java DFS解法)

    Problem 面试题 16 19 水域大小 文章目录 题目描述 思路 解题方法 复杂度 Code 题目描述 思路 该问题可以归纳为一类 遍历二维矩阵 的题目 此类中的一部分题目可以利用 DFS 来解决 具体到本题目 该题目可以的写法大体不
  • Java 基础学习(十四)Map集合与Set集合

    1 Map集合 1 1 Map接口 1 1 1 Map接口概述 Map接口是一种双列集合 Map的每个元素都包含一个键对象Key和一个值对象Value 键对象和值对象之间存在对应关系 这种关系称为映射 Mapping Map接口中的元素 可
  • Android 12.0 Launcher3定制化之动态日历图标功能实现

    1 概述 在12 0的系统产品rom开发中 在Launcher3中的相关定制化功能中 对于一些产品要求需要动态日历图标功能 在日期改变的时候 日历图标也需要跟着改变 所以需要自定义日历图标 监听日历改变的广播 收到日期改变的广播后 刷新日历
  • 计算机网络 网络层下 | IPv6 路由选择协议,P多播,虚拟专用网络VPN,MPLS多协议标签

    文章目录 5 IPv6 5 1 组成 5 2 IPv6地址 5 3 从IPv4向IPv6过渡 5 3 1 双协议栈 5 3 2 隧道技术 6 因特网的
  • 每天都很煎熬,领导派的活太难,真的想跑路了

    每天都很煎熬 领导派的活太难 真的想跑路了 人在江湖身不由己 无论是领导的亲信还是团队的边缘 都可能遇到这种情况 不得不干一件特别难以推进的事情 茫然无措 不知如何推进 每天陷入焦虑和自我怀疑中 这种事情一般有一些共同特点 结果和目标极其模
  • 创建个人网站(二)前端主页设计和编写一(太阳移动)

    前言 以下内容纯纯当乐子来看就行 知识分享一下这样设计的原因 想看正文直接见下一节 为什么创建个人网站一之后几天没有动静了呢 一个是家里有事实在比较忙 第二个原因是没想到主页要设计成什么样 知道前两天问我姐什么样的主页比较炫酷 我们得出的结
  • 网工内推 | 美团、中通快递,网络运维,最高30K*15薪

    01 美团 招聘岗位 网络运维开发工程师 职责描述 1 负责新零售业务门店 仓库网络的日常运维 故障处理 应急响应 保障网络及相关业务的稳定运行 处理突发事件 对疑难问题进行跟踪并最终解决 2 负责新零售业务门店 仓库网络的建设和运维 对涉
  • 软件测试/测试开发|Ubuntu系统常用文件管理命令详解

    前言 Ubuntu是一种广泛使用的Linux操作系统 提供了丰富而强大的文件管理命令 使用户能够通过命令行轻松管理文件和目录 本文将介绍一些常用的Ubuntu文件管理命令 帮助用户更好地理解和利用系统资源 ls 列出目录内容 ls 选项 目
  • vue-springboot美食菜谱分享平台in9c2

    1 以人为本原则 这个厨房达人美食分享平台 它的本质是为人们服务 是希望其可以实现并满足广大使用者对科技时代下的厨房达人美食分享平台的憧憬与向往 如何解放需要人工才能完成的部分和提升使用者的使用观感是最为基础的也是最重要的 它的设计宗旨就是
  • 基于FPGA的简易BPSK和QPSK

    1 框图 2 顶层 3 m generator M序列的生成 输出速率为500Kbps 4 S2P是串并转换模块 将1bit的m序列转换到50M时钟下的2bit M序列数据 就有4个象限 5 my pll是生成256M的时钟作为载波 因为s