ModelSim 仿真常见问题及解决办法

2023-05-16

下面介绍一下使用ModelSim仿真时经常出现的一些问题的解决方法:

1、Can't launch the ModelSim-Altera software

这是由于Quartus II 软件中与 Modelsim 关联设置不对,即 modelsim 路径设置有误。解决方法如下:

Tools -> Options -> General -> EDA Tool Options

2、Error deleting “msim_transcript”

这是因为同一工程 Modelsim 窗口多开,

解决方法就是:关闭正在运行的 modelsim 软件,再启动仿真。

3、Error: (vsim-3170) Could not find

这是因为testbench 文件名与其中 module 后紧跟的名称不匹配,如下图文件名为 HEX4_tb,而实际文件中的 module 名为 HEX_tb:

而在设置 testbench 链接设置时,却将 Testbench name 和 Top level module in test bench 的名字都设置为了 HEX4_tb,导致 modelsim 仿真时无法找到时找 top level (HEX4_tb),因为testbench 中命名的是 HEX_tb,而通过脚本告诉 modelsim 的时候却是告诉的 HEX4_tb,当然找不到。 

解决办法是:将 Testbench 中的 module 名改为 HEX4_tb,仿真即可通过,如下图所示:

然后运行仿真,也可以正常进行仿真。如下图:

 4、You selected Modelsim-Altera as Simulation Software in EDA ToolSettings,however……

 

这是由于该工程设置的仿真工具名称与在 Quartus II 软件中指定的该软件路径不匹配。例如,本来设置的仿真工具是 modelsim–altera,结果在 Quartus II 软件的 modelsim-altera 路径下设定的是 Tools 下设置的是 modelsim-se 的路径,导致软件版本不匹配而报错。

 

如果你的电脑装的是 modelsim-altera 版本,请按照如下图所示的设置进行工程和工具路径设置:

 

 

 5、Unable to checkout a license

 使用了非免费版本的 Modelsim 软件,却没有获得软件使用许可证

解决方法
方法 1:使用免费版本的 modelsim 软件,如 modelsim altera stater edition。
方法 2:购买软件许可证。
方法 3: 破解 modelsim 软件,破解方法见如下链接(altera 收费版和 se 版本破解思路相同,破解工具通用):

6、Analysis and Synthesis should be completed

 

问题原因是仿真前没有在 Quartus II 中执行一次分析和综合。只要仿真前需要在 Quartus II 中执行一次分析和综合。

至此,基本的问题就介绍完毕,请大家继续关注!!!

 

 

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

ModelSim 仿真常见问题及解决办法 的相关文章

  • modelsim仿真ROM IP数据输出为0的解决办法

    解决办法 xff1a 把mif文件放在根目录下 xff0c 和文件夹db同一级 xff0c File name就会直接显示这个文件名字 总结主要问题应该还是出在文件路径主要参考这篇博客 FPGA的ROM IP核配置问题 https blog
  • quartus ModelSim executable not found.

    https www cnblogs com yiruliu p 11099489 html
  • Quartus II和Modelsim的联合仿真(详细)

    这篇文章不需要在modelsim中建库 映射 建工程等一些繁琐的步骤 xff0c 直接使用modelsim中的默认work库 使用quartus 43 modelsim联合仿真 首先推荐一篇文章 http www cnblogs com e
  • 破解modelsim之后电脑蓝屏出现的PAGE_FAULT_IN_NONPAGE,hardlock.sys错误

    我折腾了一上午终于弄好了X X 之前卸载了modelsim但是没删掉hardlock sys xff0c 一直蓝屏显示错误 1 首先长按电源键10s关机后开机 xff0c 扫一下这个二维码 xff0c 根据里面的提示进入安全模式 xff08
  • modelsim win64-10.5-se crack下载及使用

    安装软件 xff0c 注意 xff1a 安装目录避免出现中文或空格 xff0c 安装过程一直点击 Yes 即可 把 MentorKG exe mgls dll以及patch64 dll bat 一起拷贝到modelsim安装目录的win32
  • ModelSim 仿真常见问题及解决办法

    下面介绍一下使用ModelSim仿真时经常出现的一些问题的解决方法 xff1a 1 Can 39 t launch the ModelSim Altera software 这是由于Quartus II 软件中与 Modelsim 关联设置
  • 不定态与高阻态,及modelsim默认波形颜色的含义

    数字电路只有高低电平 xff0c 没有实际电平对应不定态和高阻态 xff0c X和Z更多的是用来表示设计者的意图或者用于仿真目的 xff0c 旨在告诉仿真器和综合器如何解释这段代码 X态 xff1a 常用于判断条件 xff0c 只在告诉综合
  • vivado2013.4和modelsim联合仿真

    vivado2013 4和modelsim联合仿真 Hello Panda 最近在做Zynq的项目 曾经尝试使用ISE PlanAhead XPS SDK组合和Vivado SDK来搭建工程 使用中发现前者及其不方便后者有诸多不稳定 近期得
  • Modelsim、Matlab在远程桌面下打开的异常及处理

    此方法可以解决远程桌面下启动MATLAB时的License Manager Error 103错误 也能够解决远程桌面下启动modelsim的错误 方法如下 1 打开C Program Files MATLAB R2015b license
  • Quartus和ModelSim软件关联

    Quartus和ModelSim软件关联 QuartusII 18 1 和 ModelSim 10 5b 软件的关联 Altera 自身在仿真领域做的并不是很好 所以 Quartus 软件兼容 Mentor 公司的ModelSim 仿真软件
  • modelsim crack找不到文件packages on . Failed to load package info... 找不到文件 - mgls.dll 找不到文件 - mgls64.dll

    问题描述 最近下载了Modelsim SE 64 2020 4版本 按照提示操作后显示找不到文件packages on Failed to load package info 找不到文件 mgls dll 找不到文件 mgls64 dll
  • ModelSim-Altera 错误

    我正在使用 Ubuntu Linux 14 04 LTS 和 Altera Quartus 15 0 网络版 由于许可错误 我很难模拟我的设计 我正在设计一个 LCD driverVEEK MT友晶科技的液晶触摸屏旋风 IV EP4CE11
  • 调试 VHDL Modelsim 中的迭代限制错误

    我正在 Modelsim 上为 d 触发器编写 VHDL 代码 当我尝试模拟它时出现错误 错误 vsim 3601 在 400 ps 时达到迭代限制 我不确定这意味着什么 但我已经检查了大部分源代码以查找错误 但没有成功 谁能猜出问题可能是
  • 如何使用触发器输出作为复位信号的输入

    我在柜台里放了 3D 触发器 一旦达到 5 101 我想将 FF 复位输入设置为高 使用或门 复位为低电平有效 这几乎可以工作 但是 当我最初运行程序时 触发器的 Q 输出都是未知的 因此 最初 或门的复位输入为低电平 但是 因为一开始 Q
  • 信号分配在进程中如何工作?

    我了解到信号在遇到表达式时不会立即改变 而是在进程结束时立即改变 在此示例中 signal x y z bit process y begin x lt y z lt not x end process 这个例子是这样说的 如果信号 y 发
  • Modelsim 对 SV 的支持

    我目前正在使用 modelsim SE 5 8e 它不支持SystemVerilog 我需要使用 SystemVerilog 来设计和验证我的项目 您知道哪个版本的 Modelsim 能够很好地支持 sytemverilog 的设计和验证子
  • Altera Quartus 谎称未安装 Modelsim

    在 Fedora 22 64 位中安装 Quartus 13 0 和 Modelsim 在 32 位中运行 Quartus 因为否则我会遇到很多很多问题 不过 我可以启动 Quartus 创建项目 综合它 启动仿真窗口并配置输入信号 然后
  • 如何将数组类型作为泛型类型参数传递给 VHDL 包?

    我正在 VHDL 2008 中开发通用包 列表 该包具有元素类型的泛型类型 如果我在包中声明此元素类型的数组类型 那么它就是一个新类型 所以对于例如整数 我的新整数数组将与 ieee 库中的整数向量不兼容 所以我还需要传入数组类型 例如in
  • VHDL 计数器错误 (vcom-1576)

    伙计们 我试图用 VHDL 编写一个简单的计数器 但我总是收到此错误 Error C Users usrname dir1 dir2 dir3 counter vhd 22 near rising edge vcom 1576 expect
  • 文件名中 TCL 通配符/glob 的使用

    我有这样的代码 proc myProc first last for set i first i lt last incr i set i cur PlainText i

随机推荐