调试 VHDL Modelsim 中的迭代限制错误

2023-12-07

我正在 Modelsim 上为 d 触发器编写 VHDL 代码,当我尝试模拟它时出现错误:

错误:(vsim-3601) 在 400 ps 时达到迭代限制。

我不确定这意味着什么,但我已经检查了大部分源代码以查找错误,但没有成功。谁能猜出问题可能是什么?


此错误通常表明 ModelSim 陷入无限循环。在 VHDL 中,当将信号放置在灵敏度列表中并且该信号在此过程中发生更改时,可能会发生这种情况。信号改变,触发过程,信号改变,信号再次触发过程,循环继续。

下面是一个导致无限循环的过程的简单示例:

PROCESS (count)

BEGIN

count <= not count;

END PROCESS;
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

调试 VHDL Modelsim 中的迭代限制错误 的相关文章

  • vhdl中的4位加法器

    我对 vhdl 语言还很陌生 所以请耐心等待 我刚刚为 1 位加法器编写了 vhdl 代码 但在为 4 位加法器编写时遇到了麻烦 这就是我到目前为止所得到的 如果有人能指出我要查找的内容的正确方向 那就太棒了 VHDL代码 LIBRARY
  • VHDL 中信号何时分配?

    考虑这段代码 architecture synth of my entity is signal a std logic begin a lt c and d b lt a and c end synth 第二行会尊重这一点吗a在其他进程中
  • Modelsim 对 SV 的支持

    我目前正在使用 modelsim SE 5 8e 它不支持SystemVerilog 我需要使用 SystemVerilog 来设计和验证我的项目 您知道哪个版本的 Modelsim 能够很好地支持 sytemverilog 的设计和验证子
  • VHDL 类型转换 - 找到 4 种可能的定义

    我正在尝试将两个 std logic 位转换为整数 如下所示 LIBRARY IEEE USE IEEE std logic 1164 ALL USE IEEE numeric std ALL ENTITY TEST IS PORT sw1
  • VHDL-读取HEX文件

    In VHDL 从 HEX 文件初始化 std logic vector 数组 https stackoverflow com questions 20164216 vhdl init std logic vector array from
  • 在VHDL中初始化记录数组

    我有一条记录定义如下 type ifx t is record data std logic vector 127 downto 0 address std logic vector 19 downto 0 WrReq std logic
  • “警告 C0007:架构具有未绑定的实例”问题!

    我从 数字设计基础 一书随附的 CD 中获取了以下源代码 当我尝试运行该程序时 出现以下错误 Compiling Fig17 13 vhd C Users SPIDER Desktop EE460 The Final Project Fig
  • Doxygen:使用 C++ 和 VHDL 进行项目的无缝文档

    我正在建立一个关于某种库的文档 该库由 C C 部分和 VHDL 部分以及一些仅包含 doxygen 的指导性页面组成 他们必须被放入一个独立的组中 到目前为止一切正常 漂亮又蓬松 但是如果我想通过使用优化 vhdl 子目录中的输出怎么办O
  • VHDL 上的反转位顺序

    我在做类似的事情时遇到困难 b 0 to 7 lt a 7 downto 0 当我用ghdl编译它时 出现顺序错误 我发现使我的电路工作的唯一方法如下 library ieee use ieee std logic 1164 all ent
  • 模拟器和合成器之间初始化状态机的差异

    我的问题是关于合成状态机中使用的第一个状态 我正在使用莱迪思 iCE40 FPGA 用于仿真的 EDA Playground 和用于综合的莱迪思 Diamond Programmer 在下面的示例中 我生成一系列信号 该示例仅显示引用状态机
  • Quartus初始化RAM

    我制作了一个实体 其中 quartus 成功识别 RAM 并为其实例化 RAM 宏功能 如果我可以从文件初始化 RAM 那就太好了 我找到了制作此类文件 mif 文件 的教程 现在我已经创建了该文件 我不知道如何让 quartus 初始化该
  • 敏感列表中的哪个信号触发该过程

    在VHDL中 当模拟测试平台时 我有一个过程和一个敏感度列表 是否可以查看敏感列表中的哪个信号触发了该过程 我知道这可能取决于工具 我正在使用 Xilinx ISE 模拟器是否提供此信息 您可以使用 transaction属性结合 even
  • 将库添加到 Vivado 2014.4

    我对 Vivado 和 VHDL 还很陌生 我想要一些关于基本问题的指导 我猜我可以创建自己的库并在我的项目中使用它们 就像使用默认库和基本库一样 eg library IEEE use IEEE std logic 1164 ALL us
  • VHDL - 分配默认值

    我有以下架构 architecture datapath of DE2 TOP is begin U1 entity work lab1 port map error on this line clock gt clock 50 key g
  • ACTIV HDL - VHDL -“信号无法合成,同步描述错误”

    我在 Xilinx 中综合此代码时遇到错误 这个错误是 信号 Z 1 无法合成 同步描述错误 entity uk3 is port rst in BIT C in INTEGER clk in BIT S out INTEGER end u
  • VHDL 中的进程是可重入的吗?

    一个进程是否可以连续运行两次或多次VHDL 如果在进程的顺序执行未完成的情况下发生另一个事件 在敏感信号列表上 会发生什么 有可能还是我的VHDL流程中的模型完全错误 进程运行时不会发生任何事件 当进程被事件唤醒时 它会运行到完成 结束进程
  • VHDL - 为什么直接在函数上使用长度属性会产生警告?

    我有一个 VHDL 函数 它返回记录的 std logic vector 表示形式 并且我想要该 std logic vector 的长度 我可以直接在函数上使用长度属性 为什么这会在 ModelSim 中产生警告 我是否会引发一些微妙的问
  • VHDL FSM多驱动网Q连接到常量驱动程序,其他驱动程序被忽略,我的代码有什么问题?

    这段代码是一个 FSM 它是一个摩尔机 艾莉莎 P 哈克 Alyssa P Hacker 有一只蜗牛 沿着纸带爬下去 上面有 1 和 0 蜗牛 每当最后两个时都会微笑 它爬过的数字是 01 设计摩尔和米利 蜗牛大脑的 FSM 代码如下所示
  • 32x8 寄存器文件 VHDL 测试台

    我已经用 vhdl 编写了该电路的汇编代码 我想用测试台来模拟它 RegWrite 1 位输入 时钟 写寄存器个数 3位输入 写地址 写入数据 32 位输入 数据输入 读取 寄存器编号 A 3 位输入 读取地址 读取寄存器编号 B 3 位输
  • 无符号和 std_logic_vector 之间的区别

    谁能告诉我以下书面陈述之间的区别 signal A unsigned 3 downto 0 signal B std logic vector 3 downto 0 Both std logic vector and unsigned ar

随机推荐

  • 在 C++ 中声明指向结构的指针会自动为其成员分配内存。我错了吗?

    我编写了以下代码 我相信如果我尝试访问我什至没有为其分配内存的结构的成员 它会崩溃 但令我感到非常惊讶的是 C 会自动为该结构分配内存 这是正常行为吗 相比之下 如果您声明一个指向对象的指针 然后尝试访问任何成员 而没有使用运算符 new
  • void 是 C 中的数据类型吗?

    Is voidC 编程语言中的数据类型 如果可以 它可以存储什么类型的值 如果我们有int float char等等 来存储值 为什么void需要吗 而虚空的范围是多少 Void 被认为是一种数据类型 出于组织目的 但它基本上是一个关键字
  • 如何在 UILabel 中为段落绘制边框?

    我正在尝试使用UILabel可以展现丰富的内容 为此 我使用attributedText财产在UILabel and a NSAttributedString我分配与NSHTMLTextDocumentType 我想要实现的一种格式是在段落
  • 如何在Python中对两个包含十六进制数字的字符串进行异或?

    我在网上寻找答案 但似乎没有一个能以我的方式解决我的问题 我知道 我很挑剔 D 事情是这样的 我使用字符串类型来存储两个十六进制数字 因为 python 中的默认整数类型对于我的目的来说不够长 例如这样 S1 315c4eeaa8b5f8a
  • 如果元组包含任何空字符串元素,则将其删除

    有人提出的问题与我所追求的相似 但又不完全一样 比如Python 3 从元组列表中删除空元组 但可以这么说 我仍然无法阅读字里行间的内容 这是我的数据结构 包含字符串的元组列表 data gt gt 1 1 2 1 1 2 1 1 1 1
  • 将以太网地址转换为可读形式?

    struct ethernet header u char ether dhost ETHER ADDR LEN u char ether shost ETHER ADDR LEN u short ether type for i 0 i
  • Javascript 库的比较 [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心以获得指导 在建议使用图书馆之后我的阿
  • 如何在swift中获取真正固定的Device-ID?

    我很长时间以来都使用下面的代码 我认为它是独一无二的 但我删除了我的应用程序并重新安装了它 我得到了新的不同的设备 ID if let uuid UIDevice current identifierForVendor uuidString
  • 使用动态 SQL 与参数调用 sp_executesql 的性能差异

    Given CREATE PROCEDURE dbo my storedproc param1 int param2 varchar 100 AS lt
  • 如何批量测试STDIN是否为终端?

    在 shell 中我可以这样做 if test t 0 then echo stdin is a tty exit 0 fi 我怎样才能批量执行此操作 编辑 感谢所有测试人员 echo off timeout 1 2 gt nul gt n
  • Websocket 史诗,接收连接和消息请求并发出消息和连接状态更新

    我希望创建一个可观察到的 redux 史诗 它可以与我的应用程序的其余部分分开 它需要 监听传入的动作 type SOCKET TRY CONNECT 这也可能会在连接时忽略任何其他 SOCKET TRY CONNECT 事件 另外侦听要发
  • 将不均匀列表转换为 data.frame [重复]

    这个问题在这里已经有答案了 在简单的情况下 将偶数列表转换为数据帧可以通过以下方式完成as data frame 例如 gt x1 lt list a 1 3 b 4 6 c 7 9 gt as data frame x1 a b c 1
  • copy-item 其中文件名是计算机主机名

    Powershell 中似乎存在一个基本问题 我正在做一个从 UNC 共享到本地的简单复制项目脚本C 驾驶 这是我的代码 hostname env computername Copy Item Path server share hostn
  • .NET Core 2 + 系统.Data.OracleClient。汉字不行

    我正在使用 NET Core 2 和几周前发布的 System Data OracleClient 包 https www nuget org packages System Data OracleClient 我能读懂数字 日期和普通的英
  • KeyListener 如何检测组合键(例如 ALT + 1 + 1)

    我怎样才能让我的定制KeyListener聆听以下组合ALT or CTRL就此而言 不止一把其他钥匙 假设我希望应用程序执行 11 种不同的操作 具体取决于按下的按键组合 ALT 0 ALT 9显然不会造成任何问题 而对于ALT 1 0
  • AngularJS:$watch 选择输入

    我知道我们可以使用 ng change 来解决这个问题 但我想了解为什么 watch 在 select 上不起作用 也许我做错了什么 但似乎我不是唯一一个为此苦苦挣扎的人 这是我的代码 HTML div class list div
  • 强制浏览器清除缓存

    有没有办法在我的页面上放置一些代码 以便当有人访问网站时 它会清除浏览器缓存 以便他们可以查看更改 使用的语言 ASP NET VB NET 当然还有 HTML CSS 和 jQuery 如果这是关于 css and js更改 那么一种方法
  • 如何使用java解码使用openssl aes-128-cbc编码的字符串?

    我在用着openssl编码一个string使用以下命令 openssl enc aes 128 cbc a salt pass pass mypassword lt lt lt stackoverflow 结果给我一个编码字符串 U2Fsd
  • 我可以从 C# 在远程应用程序域中注入线程吗

    我想知道是否可以将线程注入到在单独进程中运行的远程应用程序域中 我的猜测是我可以使用调试接口 ICorDebug 来做到这一点 但我想知道是否还有其他方法 最近宣布 Mono 提供了一项新功能来实现这一点 请参阅此帖子装配注入
  • 调试 VHDL Modelsim 中的迭代限制错误

    我正在 Modelsim 上为 d 触发器编写 VHDL 代码 当我尝试模拟它时出现错误 错误 vsim 3601 在 400 ps 时达到迭代限制 我不确定这意味着什么 但我已经检查了大部分源代码以查找错误 但没有成功 谁能猜出问题可能是