vivado2013.4和modelsim联合仿真

2023-10-31

vivado2013.4和modelsim联合仿真

                          Hello,Panda

       最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定。近期得闻Xilinx退出Vivado2013.4,就迫不及待的想试用一把,看之前的bug是否有修复,稳定性有没有变好,就从和ModelSim的联合仿真做起吧。

       其实Vivado IDE本身具有强大的仿真工具vivado simulator,支持功能仿真和后仿,但是那个特别耗电脑资源,笔记本基本上是跑不动的,如果电脑给力用起来还是很顺手的。它还支持与Cadence、Modelsim等第三方工具协同联合仿真(详见UG900),因为习惯原因,故选用ModelSim试一试。

       1. ModelSim版本要求

        Vivado2013.4只支持Modelsim10.2A及其以上版本,低版本不支持。

       2. 编译仿真库

       使用TCL脚本:compile_simlib(详细内容见UG835),一般而言,以下内容就以足够

        compile_simlib -directory <library_output_directory>  -simulator <agr>  

                                -simulator_exec_path<sim_install_location>

        例如:a)仿真库编译到D:/xilinx_sim_lib;

                   b)仿真工具使用Modelsim;

                   c)ModelSim安装在C:/modeltech64_10.2/win64;

        那么完整的tcl命令就是:

         compile_simlib -directory D:/xilinx_sim_lib  -simulator modelsim  

         -simulator_exec_path  C:/modeltech64_10.2/win64

        执行该命令需要耐心等待,这个过程视电脑能力好坏可能需要30~60min,直到窗口提示compile_simlib tcl task –end才算完成,如图所示。

tcl库      

图1  库文件编译完成提示

         打开D:/xilinx_sim_lib文件夹可以发现,所有的库文件和Modelsim.ini文件均已生成。

        3. 设置关联

         打开Vivado IDE(start_gui),在在tools -> vivado options -> general中设置第三方工具的路径,如图2所示:

图1 tcl窗口          

                                                                                             图2  设置ModelSim的安装路径

          4.在工程中对仿真工具进行配置

           在工程配置项simulation->simulation settings中将target simulator选择为ModelSim,Compiled library Location指向刚才编译的库路径,其他设置不详细介绍,如图3所示。

tcl设置     

图3  仿真工具设置

         OK,如果中途不出什么差错,到这个步骤位置就可以顺利完成和ModelSim的联合仿真了。

       

         

   

  

  

       

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

vivado2013.4和modelsim联合仿真 的相关文章

  • xilinx ip 高斯金字塔down

    功能 1 单axi stream 接口输入 10bit raw输入 axis输出 10bit输出 2 使用xilinx hls 编写 3 配置寄存器有 pragma HLS INTERFACE s axilite port width pr
  • MIPI DPHY接口的若干种实现方案概述

    一 MIPI DPHY接口简介 MIPI DPHY是MIPI的一种物理层 其协议层有CSI和DSI两种 其中CSI主要用于图像接入 如图像传感器Sensor DSI主要用于图像输出 如手机屏幕等 有关MIPI DPHY及CSI和DSI的技术
  • Modelsim、Matlab在远程桌面下打开的异常及处理

    此方法可以解决远程桌面下启动MATLAB时的License Manager Error 103错误 也能够解决远程桌面下启动modelsim的错误 方法如下 1 打开C Program Files MATLAB R2015b license
  • 征战开发板从无到有(三)

    接上一篇 翘首已盼的PCB板子做好了 管脚约束信息都在PCB板上体现出来了 很满意 会不会成为爆款呢 嘿嘿 来 先看看PCB裸板美图 由于征战开发板电路功能兼容小梅哥ACX720 大家可以直接用小梅哥的视频来学习 不会影响学习体验 现在学习
  • Xilinx ISE、MicroBlaze系列教程

    本文是Xilinx MicroBlaze系列教程的第0篇文章 这个系列文章是我个人最近两年使用Xilinx MicroBlaze软核的经验和笔记 以Xilinx ISE 14 7和Spartan 6 Vivado 2018 3和Artix
  • Verilog小心得

    一 概念 阻塞赋值 在always过程块中 当存在多条阻塞赋值语句时 在前面的赋值语句没有完成之前 后面的语句就不能被执行 阻塞赋值语句顺序执行 就像被阻塞了一样 因此被称为阻塞赋值 非阻塞赋值 lt 在always过程块中 当存在多条阻塞
  • Vivado中ILA(集成逻辑分析仪)的使用

    Vivado中ILA 集成逻辑分析仪 的使用 一 写在前面 二 ILA Integrated Logic Analyzer 的使用 2 1 ILA查找 2 2 ILA配置 2 2 1 General Options 2 2 2 Probe
  • Vivado使用系列:IP Integrator HDL

    测试平台Vivado 2017 2 在Vivado的Block设计里 全IP化逐渐形成了一种新型的设计方案 受Vivado内的IP可配置的GUI界面影响 使用IP要比RTL代码更有良好的用户体验 然而 在Block设计里 并不是只有IP这一
  • 赛灵思FPGA编程入门指南

    中英双语字幕精校版 赛灵思FPGA编程入门之新手指南第1集 什么是FPGA 现场可编程门阵列 FPGA概念 什么是FPGA 现场可编程门阵列 FPGA概念 本系列视频旨在为FPGA新人逐步讲解教程和基本概念 提供FPGA编程入门指导 帮助您
  • Verilog单周期CPU设计(超详细)

    下篇 Verilog流水线CPU设计 超详细 本篇完整工程下载链接 实验 单周期CPU 一 设计目的与目标 实验内容 实验要求 二 课程设计器材 硬件平台 软件平台 三 CPU逻辑设计总体方案 指令模块 MIPS指令格式 指令处理流程 数据
  • Xilinx FPGA 学习笔记——时钟资源

    在Xilinx的FPGA中 时钟网络资源分为两大类 全局时钟资源和区域时钟资源 全局时钟资源是一种专用互连网络 它可以降低时钟歪斜 占空比失真和功耗 提高抖动容限 Xilinx的全局时钟资源设计了专用时钟缓冲与驱动结构 从而使全局时钟到达C
  • 【XINLIX 原语】XILINX 原语的使用之 IBUFDS 差分转单端、OBUFDS 单端转差分

    目录 IBUFGDS IBUFDS 介绍 IBUFDS 示意图 例化方式 OBUFDS OBUFDS 介绍 OBUFDS 示意图 例化方式 在 XILINX 中有许多原语 常见的差分转单端 IBUFDS 单端转差分 OBUFDS IBUFG
  • Xilinx Vivado .coe文件生成

    一 COE格式文件生成 由于Quartus ii软件ROM用的是mif格式的文件 且可以用软件Guagle wave生成正弦波 三角波 锯齿波 我们可以利用这个软件先生成数据 然后再将其转化为符合COE格式的文件 具体请参考以下步骤 1 先
  • 教程:在Vivado中指定VSCode作为文本编辑器

    教程 在Vivado中指定VSCode作为文本编辑器 更新历史 20190325 首次发布 20201027 对本文第三步中需要填写的内容做了修改 使得VSCode能够实现光标的自动定位 该修改的做法是 HK Journalist 在评论中
  • Xilinx ISE系列教程(8):读取FPGA芯片唯一ID号

    文章目录 toc 应用场景 方法1 通过JTAG读取 方法2 调用原语读取 DNA PORT原语的使用 DNACLK频率注意 本文是Xilinx ISE系列教程的第8篇文章 用过单片机的朋友都知道 单片机芯片内部都有一串序列号 比如STM3
  • Xilinx实习一年总结

    从去年7月4号来到上海xilinx 转眼间已经一年 这一年学了很多知识 也长了很多见识 去年七月一到公司 立即投入到摄像头 DDR HDMI图像通路的研发中 就是在ZEDboard板卡上 通过外置摄像头采集图像 在PL部分将采集的像素数据进
  • 【FPGA】Xilinx vivado IP核许可申请——以MIPI CSI-2为例

    1 先进入xilinx官网 复制这个链接直接进入ip申请的地址 http www xilinx com getlicense 打开链接后需要登录 没账户的那就需要注册 点击红色方框内的蓝色字体即可注册 2 注册完成后 可以选择相应的IP核进
  • Modelsim 对 SV 的支持

    我目前正在使用 modelsim SE 5 8e 它不支持SystemVerilog 我需要使用 SystemVerilog 来设计和验证我的项目 您知道哪个版本的 Modelsim 能够很好地支持 sytemverilog 的设计和验证子
  • UIO 设备上的 mmap EINVAL 错误

    在尝试使用 UIO 而不是直接映射后 我在 Xilinx Zynq 上映射物理内存时遇到问题 dev mem 虽然计划是以普通用户身份运行应用程序 而不是root这仍在运行root 显然 第一个映射成功 其余映射到同一个文件描述符12 de
  • 文件名中 TCL 通配符/glob 的使用

    我有这样的代码 proc myProc first last for set i first i lt last incr i set i cur PlainText i

随机推荐

  • Matplotlib-Python之学习记录一

    一 Matplotlib库的简述 Matplotlib是一个基于Python编程语言的创建静态 动态和人机交互式数据可视化的综合库 常用于数据分析 数据挖掘及基础的科研绘图等 Matplotlib通常与Pandas Numpy共同使用 二
  • 安装KVM虚拟机与KVM常用命令

    1 查看有无kvm root kvm02 lsmod grep kvm kvm intel 174841 0 kvm 578518 1 kvm intel irqbypass 13503 1 kvm 配置yum源 curl o etc yu
  • Lifting Monocular Events to 3D Human Pose 论文笔记

    一 Introduction 1 提出了一种使用单个事件流来预测人体姿态的方法 2 建立了一个新的 基于事件的人体姿势估计基准的合成数据集Human3 6m dataset 3 大量实验验证基于事件的人体姿势估计的转移学习和预训练方法 二
  • 《机器学习》第二章模型评估与选择 总结

    基本概念 误差 error 学习器的实际预测输出与样本的真实输出之间的差异 训练误差 training error 经验误差 empirical error 学习器在训练集上的误差 泛化误差 generalization error 学习器
  • Android四种Activity的加载模式

    建议首先阅读下面两篇文章 这样才可以更好的理解Activity的加载模式 Android的进程 线程模型 http www cnblogs com ghj1976 archive 2011 04 28 2031586 html 其中对 An
  • 设计模式之UML详解

    文章目录 1 什么是UML 2 UML图之类图 2 1 类 Class 2 2 接口 Interface 2 3 类图中关系 relation 1 泛化 继承 Generalization 2 实现 Realization 4 聚合 Agg
  • Windows 批处理(bat) for循环语句使用教程

    文章目录 for指令基本格式 指令参数 1 参数 d D 遍历文件夹 2 参数 l L 数字序列 3 参数 f F 打印文件内容 3 1 f delims 3 2 f tokens 2 delims 3 3 f skip 1 3 4 f e
  • mybatis 批量插入提升效率

    背景 最近工作中遇到了解析excel 然后批量插入 发现这个插入时间比较长 所以想要进行一些优化 大家可以跳过过程直接看结论 背景作 准备工作 创建一张测试表 CREATE TABLE user id int 11 NOT NULL AUT
  • 【猿人学WEB题目专解】猿人学第16题

    据说 看我文章时 关注 点赞 收藏 的 帅哥美女们 心情都会不自觉的好起来 前言 作者简介 大家好我是 user from future 意思是 来自未来的用户 寓意着未来的自己一定很棒 个人主页 点我直达 在这里肯定能找到你想要的 专栏介
  • 亚马逊 s3 boto3 中 Client,Resource和Session 区别。

    boto3 中 Client Resource和Session的不同 boto3 英文官方文档链接 https boto3 amazonaws com v1 documentation api latest guide resources
  • axios post请求get请求对传参的操作

    axios post请求get请求对传参的操作 特别说明 axios get传参 axios post传参 特别说明 以下都是基于 headers Content Type application x www form urlencoded
  • Python JS逆向篇(三)

    Python JS逆向篇 三 逆向z参数 js实现 py实现 实战 接口1 接口2 逆向主题 解析出网址里视频下的m3u8链接 注 文章所涉及内容只做学习参考交流 不做除此之外的任何其它用途 新手入门级 参考B站视频系列教程 https w
  • 有限状态机 python_python——有限状态机

    前言 使用Python 大部分时间花在了处理文本上 在处理文本的时候 如果对有限状态机有所了解的话 处理起来会更加得心应手 可以把文本看成一个流 然后有一个机器对这个流进行操作 这个机器有状态 不同的状态会做出不同的处理 状态会随着处理进行
  • 《代码大全2》阅读笔记09--Chapter 16 Controlling Loops

    Chapter 16 Controlling Loops 控制循环 循环 是一个非正式的术语 用来指代任意一种迭代控制结构 iterative control structure 任一能够导致应用程序反复执 行一段代码的结构 16 1 Se
  • Quartusii 调试工具之In-System Memory Content Editor

    本文主要介绍Quartusii 调试工具中的In System Memory Content Editor 其主要功能就是能实时更改RAM ROM中的数值 同时也可以修改FPGA内部定义的常数值 它是通过JTAG调试接口去完成RAM ROM
  • SQL去重distinct方法解析

    来源 https www cnblogs com lixuefang69 p 10420186 html SQL去重distinct方法解析 一 distinct 含义 distinct用来查询不重复记录的条数 即distinct来返回不重
  • 【HBZ分享】Clickhouse常用命令及SQL语法

    Clickhouse常用命令及SQL语法 建库语句 CREATE DATABASE 库名 例 CREATE DATABASE first clickhouse MergeTree建表语句 create table 库名 表名 字段1 字段2
  • 2023高教社数学建模国赛C题 - 蔬菜类商品的自动定价与补货决策(完整参考论文)

    摘要 商超 超市和零售店 在现代经济中扮演着至关重要的角色 然而 它们在蔬菜商品管理中面临着多重挑战 这些挑战包括如何准确预测销售趋势 合理制定价格策略 以及有效制定补货计划等问题 解决这些问题对于商超来说至关重要 因为它们直接影响着销售收
  • 图片自动标注工具调研

    AIDA https imageannotation nds ox ac uk 8443 AIDA 网页标注 支持圆圈和曲线标注 可导出json文件 Annotorious https annotorious github io demos
  • vivado2013.4和modelsim联合仿真

    vivado2013 4和modelsim联合仿真 Hello Panda 最近在做Zynq的项目 曾经尝试使用ISE PlanAhead XPS SDK组合和Vivado SDK来搭建工程 使用中发现前者及其不方便后者有诸多不稳定 近期得