模拟CMOS集成电路设计中的电流基准源及用Cadence Virtuoso IC617设计并仿真有关电路

2023-10-27

前言

本文为我自己的学习笔记,属于Cadence Virtuoso系列的进阶部分,采用的软件版本是Cadence Virtuoso IC617。其他文章请点击上方,看我制作的Cadence Virtuoso专栏内容。

在前面的文章中,记录了电流镜的相关知识。虽然在运放中我们基本解决了电流源的问题,但是引入了一个新的电流源,也就是电流基准。本文记录了如何产生这个基准源。

基准电流源

在上一节中,我们引入了电流镜,虽然我们能产生任意电流了,但是,基准电流源Iref被引入,这就需要我们解决一个新的问题,怎么产生基准电流源Iref?

基准源特性

首先,我们要知道,一个好的基准源,需要有怎样的特性。

  • 与VDD和noise无关,即在一定电压范围内都是恒流输出,抗噪声要灵敏度很低
  • 与temperature无关,使得器件在一定的温度范围内都是恒流输出
  • 与u·Cox无关,即与工艺参数无关,使得不同批次的器件都能保持输出电流恒定

那么,我们怎样得到这个偏置呢?

电路原理

拉扎维的第二版书中的P459给出了一个解决方案,可以用四只晶体管加一个电阻,产生一个与电源无关的偏置(但实际测试下来发现误差挺大的)。这是经典的标准电流源。
在这里插入图片描述

左边的电路中,M2会产生体效应,所以一般用右边的电路。后续都是以右边电路举例。在图中将电流镜也加入进去。
在这里插入图片描述

教科书中告诉了我们,以下关系式成立。从公式中看出,输出电流和电源电压VDD(VDS)无关,仅和工艺参数及温度有关。但是,公式并没有考虑沟道长度调制效应,所以,当引入沟道长度调制时,公式变得不一样,此时输出电流和电源电压有关了。

I o u t = 2 μ p C o x ( W L ) p ∗ 1 R S 2 ∗ ( 1 − 1 K ) 2 I_{out}=\sqrt{ {2 \over \mu _pC_{ox}({W\over L})_p} }* {1 \over R_S^2}* \bigg( 1-{1 \over \sqrt{K}}\bigg) ^2 Iout=μpCox(LW)p2 RS21(1K 1)2

计算过程

以下介绍两种计算MOS尺寸的方法。以前面设计的两级运放举例,第一级运放需要314uA的电流,第二级运放需要2.356mA的电流。那么,参考电流源可以设计为157uA,这样,第一个电流镜为2倍关系,第二个电流镜为15倍关系。
在这里插入图片描述

也就是说,接下来设计的基准电流源,输出的电流为157uA。

gm/id计算法

首先,有以下的关系式成立。

V G S 3 = V O V 3 + V T H P , V G S 4 = V O V 4 + V T H P V_{GS3}=V_{OV3}+V_{THP} \enspace , \enspace V_{GS4}=V_{OV4}+V_{THP} VGS3=VOV3+VTHP,VGS4=VOV4+VTHP

其次,它们之间的关系如下。

V G S 4 = V G S 3 + I o u t R S , g m I d = 2 V O V V_{GS4}=V_{GS3}+I_{out}R_S \enspace , \enspace {g_m \over I_d}={2 \over V_{OV}} VGS4=VGS3+IoutRS,Idgm=VOV2

最后可以得到以下关系式。

2 [ ( g m I d ) 4 − 1 − ( g m I d ) 3 − 1 ] = I o u t R S 2\bigg[ \bigg({g_m \over I_d}\bigg)_4^{-1}- \bigg({g_m \over I_d}\bigg)_3^{-1} \bigg]=I_{out}R_S 2[(Idgm)41(Idgm)31]=IoutRS

在这四个晶体管中,M3是最大的,我们令它们的gm/id的取值如下表。同时,为了抑制沟道长度调制效应,每一只晶体管的L取值为2um。

标号 1,2 3 4
gm/id取值 6 12 6

同时,我们知道每一条支路的电流为157uA,只需要查idoverw-gmoverid表,得到电流密度,就能算出对应晶体管的W。最终得出的晶体管尺寸如下。

M3的尺寸约是M4的4倍,也就是K=4,这也是很多文献中得出的结论。
计算出M4的尺寸后,可以直接将W和L套用到M3上,然后将Multiplier改成四倍即可。

标号 1,2 3 4
W 11.07u 28.18u 28.11u
L 2u 2u 2u
Multiplier 2 16 4

最后通过计算得到Rs的取值。除了上面通过Iout计算外,实际上,Rs的取值约是M4的gm的倒数,也可以通过这个关系进行计算,下面给出了两种计算结果,它们非常相近。

I o u t ⇒ R S = 1.06 k Ω g m ⇒ R S = 1.05 k Ω I_{out} \enspace\Rarr \enspace R_S=1.06 \enspace k\Omega \\\enspace\\ g_m \enspace\Rarr \enspace R_S=1.05 \enspace k\Omega IoutRS=1.06kΩgmRS=1.05kΩ

上面计算的Rs结果只是给了一个大致的范围(大致为1kΩ左右),实际上经过仿真,Rs需要取值为1.112kΩ才能达到目标电流值。接下来的仿真都是以Rs=1.112kΩ举例。

直接引入法

直接引入法,是一个非常偷懒的方法,但是十分简单高效,其基本思想还是使用了gm/id方法,因为每一个支路的电流都是一致的,所以在保证了对应晶体管的gm/id值是一致的情况下,就能产生对应的电流。

电路原理图如下图。看着可能很复杂,但是我们从右到左,一步一步分析。其中晶体管旁边的数值分别代表W,L,Multiplier。

  1. 左边是一个五管OTA运放,输入管为P-MOS,负载为N-MOS组成的电流源
  2. 右边是基准电流源产生电路,同样由两个P-MOS和两个N-MOS组成
  3. 左边P-MOS尺寸由右边映射得来,注意右支路的晶体管的Multiplier是4
  4. 左边N-MOS尺寸由右边映射得来,注意L增大变成了2u(增大电流源Rout)
  5. 中间是电流镜部分,Iref电流源的尺寸直接照搬左边的晶体管
  6. 上面两个P-MOS可以直接照搬运放输入管尺寸,可减小Multiplier以减小面积
  7. 上面两个P-MOS可以以gm/id=5~8重新设计,以降低电流源噪声
  8. Rs的取值约是输入管的gm的倒数,误差较大,需要根据仿真结果进行微调

在这里插入图片描述

用这个方法,除了简单和懒人专属这个优点,还有一个强迫症患者的福音,那就是这个方法可以保证,晶体管之间的W尺寸的一致的,也就是Multiplier和L不同,在绘制版图的时候,就可以将晶体管排列得整整齐齐。

仿真结果

将上述两个计算方法得到的电路进行仿真,其中gm/id计算法命名为gmoverid,直接引入法命名为direct,观察它们和电源电压的关系。可以看出两者的性能基本一致,但是还是太依赖于VDD了。
在这里插入图片描述

拉开曲线,可以看出在一定的VDD范围内可以得到想要的电流值。
在这里插入图片描述

观察温度特性曲线。可以看出,使用直接引入法的温度特性稍好,但两者还是菜鸡互啄。
在这里插入图片描述

最后观察一下时域波形,主要是为了看启动状态和振荡状态。从图中可以看出波形很稳定,电路没有自激振荡。
在这里插入图片描述

从上面的测试中可以看出,这种方法还是只适合于仅用于仿真的电路,同时电源电压比较恒定,也就是说实用性并不强。所以现在很多文献中,都几乎找不到这种电路了。下面我们将研究其他电路。

自偏置电流源

前面提到的那个基准电流源太菜鸡了,我们得想办法引入一个新的电路。我们的目标是,这个基准电流源不随电源的波动而波动,同时温度系数要低。

电路原理

我们引入下面的电路图,这是一种自偏置的电流源。其中I1和I2都可以输出,但是比较建议用I1,沟道长度调制效应会明显低一些。
在这里插入图片描述

计算过程

这个电路最核心的关系式,就是VGS1等于右边的电阻R乘以电流I,当然两条支路的电路都相等,这里都叫I。有以下关系式。

I ∗ R = V G S 1 , V G S 1 = V T H + 2 I μ n C o x ( W L ) I*R=V_{GS1} \enspace , \enspace V_{GS1}=V_{TH}+ \sqrt{ 2I \over \mu _n C_{ox} ({W \over L}) } IR=VGS1,VGS1=VTH+μnCox(LW)2I

把式子整合之后就得到了下面的关系式。

I = 1 R ∗ [ V T H + 2 I μ n C o x ( W L ) ] I={1 \over R}*\bigg[V_{TH}+ \sqrt{ 2I \over \mu _n C_{ox} ({W \over L}) }\enspace \bigg] I=R1[VTH+μnCox(LW)2I ]

观察以下式子,怎么有工艺参数?前面的文章不是说了尽量不引入工艺参数吗?那我们再来变化以下式子。

2 ( g m I d ) 1 − 1 + V T H = I ∗ R 2\bigg({g_m \over I_d}\bigg)_1^{-1} + V_{TH} =I*R 2(Idgm)11+VTH=IR

引入gmoverid是不是就看起来顺眼了,但是你会发现,好像有哪里还是不对。没错,就是Vth。这东西在不同的W和L下,值都不一样。以0.18um工艺距离,不同尺寸的晶体管对应的Vth可以看下表。

L W Vth
1 200n 1u 440mV
2 1u 1u 404mV
3 1u 10u 412mV

所以,不同的晶体管尺寸下,Vth都不一样。当计算出来的结果出现误差时,我们怎样避免无谓的重复计算?

还记得前面提到的“直接引入法”吗?我们为什么不假定一个W,然后根据这个W去调整电路参数呢?这样也有利于版图的匹配。

那么,要怎么做?和前面提到的方法一样,直接将两级运放的第一级五管OTA照搬过来,然后修改L和Multiplier。原来的尺寸如下。

P-MOS N-MOS
W 26.14u 9.74u
L 500n 1u
Multiplier 4 2

同时,这个结构的电流源输出的电流最好在10-100uA之间,电流太小了整体尺寸不好调整,电流太大了整个电路尺寸会非常大。那么,这里以前面设计的两级运放举例,第一级运放需要314uA的电流,第二级运放需要2.356mA的电流。那么,参考电流源可以设计为78.5uA,这样,第一个电流镜为4倍关系,第二个电流镜为30倍关系。

下面给出了修改不同尺寸,对应的电路变化。其中Vmin对应最小开启电压。此电路的电压范围约是2V,当范围大于2V时由于沟道长度调制效应,电流曲线会往上翘。所以在一定的电压范围内,当Vmin变小时,意味着可用的Vmax也跟着变小。

所以,如果此电路用于3V以上的电源电压,那Vmin这个参数对电路的影响不大,毕竟可用的Vmax都超过5V了。如果用于超低压同时宽电源,比如1.8V-4.5V,那么就得降低输出电流到50uA以下。

P-MOS

  • 减小W,Vmin变大,电流曲线上翘程度降低。反之。
  • 减小L,Vmin变小,电流曲线上翘程度增加。反之。

N-MOS

  • 减小W,Vmin变大,Iout变大。反之。
  • 减小L,Vmin变小,Iout变小。反之。

R

  • 增大R,Vmin变小,Iout变小。反之。
  • 减小R,Vmin变大,Iout变大。反之。

那么,我这里设计电源范围是2.5V-4.5V,输出电流78.5uA,根据上述规律修改尺寸如下。尺寸的缩放全部是整数倍的,这样非常利于版图匹配,可以感受一下这个修改过程。而R取值为7.12K。

Before P-MOS After P-MOS Before N-MOS After N-MOS
W 26.14u 13.07u 9.74u 9.74u
L 500n 6u 1u 1u
Multiplier 4 1 2 2

仿真结果

仿真输出电流和电源电压的关系。在2.5V-4.5V的电源电压内,输出电流为78.5uA-79.94uA,最大值和最小值偏差率约1.84%,在一些对电流精度要求不高的电路中,性能还是算不错的。

例如普通运放,在满足基本参数指标的情况下,稍微增大一些的电流,带来的是稍微变大些的GBW和SR,以及稍微大一些的功耗,在大部分的场景下还是可以被接受的。
在这里插入图片描述

仿真输出电流和温度的关系。整个电路表现出了负温度系数,由于没有做温度补偿,所以温漂较大,为528ppm。同时,在设计时可以考虑把电流取值变大些,例如80uA,使得电路在高温下也能满足指标需求。
在这里插入图片描述

最后仿真时域波形,可以看出电路没有发生振荡。
在这里插入图片描述

Cascode自偏置电流源

上面提到的自偏置电流源,在电源抑制方面已经足够优秀,但是我们还可以继续改进。引入Cascode电流镜结构,可以更好地抑制沟道长度调制效应。

电路原理

只修改了电路的顶部结构,引入了Cascode,原本是两只P-MOS,现在变成了四只,再引入了一个电阻,其他都没有什么修改。
在这里插入图片描述

第1次计算和仿真

直接引入上一节得到的晶体管尺寸即可,完全不用做改变。而R1和上一节也是一样的,取值为7.12K。套用这个尺寸和阻值,电流肯定会变化。只需要扫描R2的数值,选择78.5uA对应的阻值即可。这里得到R2的值为5K。

P-MOS N-MOS
W 13.07u 9.74u
L 6u 1u
Multiplier 1 2

仿真输出电流和电源电压的关系。在3V-5V的电源电压内,输出电流为78.56uA-79.22uA,最大值和最小值偏差率约0.83%,精度不错。

但是我们发现了一个问题,那就是最小电压从原来的2.5V增加到了3V。是的,因为每个支路都增加了一个P-MOS,所以引入这种结构会导致Vmin增大,降低电路的适用范围。
在这里插入图片描述

其它的,温度特性和时域波形相比上一节的电路几乎没有变化,这里就不放上仿真曲线了。

第2次计算和仿真

第一次的计算是直接照搬上一节得出结果,就是最低电压Vmin变大到了3V。在一般的应用场景中,这个不是大问题,但是如果我们需要低压的应用场景,就需要继续修改电路。

从上一节提到的修改规律,我们直接把P-MOS的W增大一倍,变成原来的两倍,同时保持R1的7.12K不变,得到以下的尺寸。

Before P-MOS After P-MOS Before N-MOS After N-MOS
W 13.07u 26.14u 9.74u 9.74u
L 6u 6u 1u 1u
Multiplier 1 1 2 2

扫描电流后,需要把R2从5K降到3K,就能得到目标电流。扫描仿真后,我们发现,最小电源Vmin被成功降低到了原来的2.5V。在2.5V-4.5V的电源电压内,输出电流为78.58uA-79.39uA,最大值和最小值偏差率约1.0%,和之前差别不大,精度也较高。
在这里插入图片描述

结果对比

将两个结果做一个对比,首先先来看输出电流Iout和电源电压VDD的关系。可以看出,引入Cascode结构的电路,对沟道长度调制效应有一定的抑制作用。
在这里插入图片描述

而温度方面,几乎没有差距,因为都没有做温度补偿。
在这里插入图片描述

启动电路

简并状态

在上面的所有电路中,都存在一个雷打不动的关系式,就是I1=I2,也就是基准源的左右两个支路的电流是一样的,这是所有关系式成立的前提。那么,当电路启动时,由于存在VDD和GND之间的电容,这个电容可以是外围PCB设计引入的,也可以是电路寄生的。那么上电时,VDD是从0开始增长的,会存在一瞬间的零电流情况。

此时两条支路的电流都是0,是存在平衡状态的,电路可以稳定地在这种状态下工作。也就是说,等上电完成后,这个基准电流源可能还是输出零电流,这是我们不希望看到的。这个点就是简并点。
在这里插入图片描述

电路原理

我们需要加入一些电路,来使得电路在启动的时候摆脱简并点,同时,启动完成后,这个引入的电路不会影响原本的电流源电路。常见的一般有两种,分别解析一下。
在这里插入图片描述

第一种电路

Rb和当作二极管的M2组成了分压电路,当电路启动时,VG1 - VS1 > Vth,此时M1导通,给左支路引入了电流,打破了零电流的平衡点。当电流源正常工作时,M1处于关断状态。

M1和M2的尺寸选择和电流源中的N-MOS一致即可,或者进行线性缩放,方便版图的设计。

而Rb的取值要稍大,大致范围为20K-200K。当取值太小时,启动电路消耗的电流会过大,照成功耗上升。当取值太大时,可能无法产生足够的VG1,使得M1导通。同时,这个电阻精度不需要太高,因为其主要起分压作用(当然,需要精确计算启动电路消耗的电流时,当然需要高精度电阻)。

第二种电路

当制作大电阻时,例如100K以上,需要消耗很多的芯片面积,例如在0.18um工艺下,阻值为100K的rpposab电阻,最小尺寸为420nm*58.7um。所以,将电阻Rb替换成二极管连接的P-MOS,可以达到一样的效果。

使用晶体管代替电阻之后,电路的耐压值会下降,所以在电路底部继续添加二极管连接的M3来分压。当电源电压较高时,可能需要更多的二极管连接的晶体管。由于MOS的阈值一般大于0.4V,所以一般按照每只分压晶体管分压0.6V-0.8V,来计算需要额外引入的数量。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

模拟CMOS集成电路设计中的电流基准源及用Cadence Virtuoso IC617设计并仿真有关电路 的相关文章

  • 开关电源环路学习笔记(6)-开关变换器传递函数Gvd(s)推导过程

    终于到了最关键的环节 也是最难的环节 如何求出开关级的传递函数 也就是下图这一级 哎 不得不说 太难了 不过没办法 先前夸下海口 跟兄弟们说我要把环路搞清楚 现在搞不动也得搞啊 这一级之所以这么难 主要是有开关元器件 本身是非线性的 当然了
  • 了解一款新的单片机

    MCU价格依然高居不下 各个国产MCU厂商的竞争也是如火如荼 然而好多厂商都是追求硬件甚至软件兼容STM32 但是兼容性好的芯片用的人也多 最终供不应求 还是会导致价格上涨 与其这样 不如干脆选一款相对冷门的单片机 用的人没那么多 价格也就
  • 如何在PC上查看一个web页面在移动端的展示效果

    最近在chrome上发现一个东东 emulation 这个果断可以用来模拟web页面在移动端的显示结果 F12的界面 点击 Show drawer 就可以看到这个界面了 这里可以选择各种设备 选中之后 点击emulate就可以模拟了 这个就
  • SGMII协议解析

    什么是SGMII 先说什么是GMII MII MII是ethernet协议里面MAC层和PHY层之间的接口标准 MII是4bits的数据位宽 支持10 100M的数据传输 GMII前面G表示Gigabit 代表支持1000M的传输速率 需要
  • 一枚芯片的成本是多少?(2)芯片硬件成本

    芯片硬件成本 计算封装和测试的成本这个没有具体的公式 只是测试的价格大致和针脚数的二次方成正比 封装的成本大致和针脚乘功耗的三次方成正比 如果CPU X采用40nm低功耗工艺的自主芯片 其测试成本约为2美元 封装成本约为6美元 因40nm低
  • 2022年天梯赛-全国总决赛 L2-1 插松枝 (25 分)

    又来补题了哎哎哎 考试的时候卡了一小时就离谱 include
  • 猜数字小游戏(JAVA)

    猜数字小游戏 题目描述 代码 运行效果 新增功能 思路 代码 运行效果 题目描述 猜数字 又称 Bulls and Cows 是一种古老的的密码破译类益智类小游戏 起源于20世纪中期 一般由两个人或多人玩 也可以由一个人和电脑玩 通常由两个
  • 兆易创新携手合肥产投进军12英寸晶圆存储器

    2018年12月29日北京兆易创新科技股份有限公司董事会发布公告 北京兆易创新科技股份有限公司与合肥市产业投资控股 集团 有限公司于2017年10月26日签署了 关于存储器研发项目之合作协议 约定双方合作开展12英寸晶圆存储器研发项目 经沟
  • Protues 8.7:i8086.DLL failed to create DSIM model

    如图所示 8086报错 解决一 换版本 如Protues 7 8 解决二 有的版本i8086 DLL文件不好用 使用这个 链接 https pan baidu com s 1eKniBmf7xJJHwPAxX8dQBg 提取码 8086 文
  • 树莓派raspberry pi 4 SSH默认密码无法登录解决办法

    以前玩过一段时间树莓派 只要开通ssh就可以 默认用户pi 默认密码 raspberry 远程连接就可以 但今天再玩却死活无法登录 如下 出了什么幺蛾子哦 上网一查 才知道pi账号在最近的raspberry pi os中因为安全原因已经删除
  • 电感与磁珠

    电感最重要的公式 它说明了电感的很多特性 比如 电感电流不能突变 电感的储能大小 电感的电流与电压的相位关系 还有电感的阻抗为什么是jwL 电感电流不能突变 电感电流为什么不能突变呢 来看这个公式 U等于负的L乘以di比dt Di比dt是指
  • PCB板框文件丢失的问题

    问题 PCB 板框文件丢失的问题 在制作好PCB并导出Gerber文件后 送厂制板的时候审查被提醒说没有边框文件 缺少 GM1 层 解决办法 经过反复检查 确定添加了边框文件 BOARD GEOMETRY CUT Design outlin
  • DC-DC电源管理

    BUCK电源芯片的使用与选择 BUCK电路降压原理 在开关S闭合时 对电感L与电容C进行充电同时也对负载R供电 在开关S断开时储能元器件L与C继续对R进行供电并通过D1形成回路 输出电压Vo Vi Ton Ton Toff Ton 开关S闭
  • OC5228 100V多功能LED恒流驱动器-高辉调光 65536:1 调光比

    同脚位拼对拼替代智芯HI7001 磁吸灯 舞台灯电源方案新贵 概述 OC5228 是一款外围电路简单的多功能平均电流型LED 恒流驱动器 适用于5 100V 电压范围的降压BUCK 大功率调光恒流LED 领域 芯片PWM 端口支持超小占空比
  • 常用电子元件介绍与功能

    常用电子元件简介及其作用 一 电容 1 种类 1 CBB电容 2 铝电解电容 3 钽电解电容 4 高频瓷片电容 5 低频瓷片电容 2 作用 1 去耦 2 滤波器 3 储能 4 检波 5 无源晶振 6 隔直通交 3 总结 二 电感 1 种类
  • 《每日一题》NO.38:谈谈芯片的IO排布形式,与封装之间的关系?

    芯司机 每日一题 会每天更新一道IC面试笔试题 其中有些题目已经被很多企业参考采用了哦 聪明的你快来挑战一下吧 多多刷题 巩固技术知识 也为求职笔面试加加分 大家快来做题吧 今天是第38题 本题来谈谈芯片的IO排布形式 与封装之间的关系 今
  • VMware 中搭建 SylixOS 环境

    1 制作 x86 平台 U 盘启动盘 详细步骤见 RealEvo IDE 使用手册 第八章 制作成功后插入 U 盘 2 创建 VMware 虚拟机设备 打开 VMware 这里使用版本为 15 5 6 点击 创建新的虚拟机 按如下步骤创建虚
  • 手机知识:手机的快充技术是什么,看完本文你就懂了

    目录 1 什么是手机快充 2 目前主流的手机快充协议 2 1 PD协议 2 2 PE协议 联发科 2 3 QC协议 高通 2 4 VOOC闪充 OPPO厂商 2 5 SCP FCP闪充 华为厂商 2 6 FlashCharge闪充 Vivo
  • 应用在多媒体触摸屏设备中的触摸感应芯片

    多媒体触摸屏设备是触摸液晶显示器结合现代PC机组成的具有触摸显示和计算机操作功能为一体的产品 它包括两个部分 一个是触摸液晶显示器 它具有触控的特性和显示输出的功能 另一个是PC机 也就是所说的计算机 通过两个部分整合到一起 实现了具有触摸
  • 应用在多媒体触摸屏设备中的触摸感应芯片

    多媒体触摸屏设备是触摸液晶显示器结合现代PC机组成的具有触摸显示和计算机操作功能为一体的产品 它包括两个部分 一个是触摸液晶显示器 它具有触控的特性和显示输出的功能 另一个是PC机 也就是所说的计算机 通过两个部分整合到一起 实现了具有触摸

随机推荐

  • Python基础知识

    目录 前言 一 Python虚拟环境 1 Python虚拟环境的意义 2 Python构造虚拟环境的方法 3 Python虚拟环境问题处理 二 Python其他知识点 1 pip忽略缓存安装 2 镜像源 3 python查找项目依赖 4 p
  • 谷歌翻译API-python接口-Googletrans

    Googletrans是一个免费且无限制的python库 可实现Google Translate API Google Translate交互式API可以用来调用诸如自动侦测语言种类和翻译之类的用途 英文网址 https py google
  • 用python画星空的代码简单,python星空浪漫表白源码

    大家好 给大家分享一下用python画星空的代码简单 很多人还不知道这一点 下面详细解释一下 现在让我们来看看 用python画星空源代码是什么 用python画星空源代码是from turtle import from random im
  • 计算机主机采用的电子器件发展顺序,计算机采用的主机电子器件的发展顺序是什么?...

    计算机采用的主机电子器件的发展顺序是 电子管 晶体管 中小规模集成电路 大规模和超大规模集成电路 按照计算机采用的电子器件不同将计算机划分为电子管 晶体管 中小规模集成电路 大规模和超大规模集成电路四代 计算机采用的主机电子器件的发展顺序是
  • 海神祭司被机器人拉出来_那一抹勾魂的蓝色,卡西欧海神Oceanus 系列介绍

    本内容来源于 什么值得买APP 观点仅代表作者本人 作者 木木滚滚 先前写的卡西欧G shock系列介绍的文章下面 有挺多老哥说想要看卡西欧海神的介绍文章 于是说写就写 也开启一个新的专栏系列文章 希望能坚持写下去 一 卡西欧手表的分类 我
  • 使用lightdm启动dwm或桌面

    简介 LightDM 是一个跨桌面环境的显示管理器 它的特点有 跨桌面 支持不同的桌面环境 支持多种显示技术 X Wayland 轻量级 低内存使用 高性能 支持定制会话 支持远程登录 XDMCP VNC XDMCP 可插拔 完善的测试组件
  • Unity中的一些问题

    Unity代码编写标准流程 1 建议按照执行流程写代码 这样减少忘记写功能的可能性 2 复杂的类型的初始化赋值 不要在初始化中赋值 应该设为private 因为不用在窗口中赋值 所以在start 中初始化 常规问题 一些Unit bug 脚
  • 《Code_Complete_2》持续更新中......

    如何阅读这本书 这本书有意设计成使你既可以从头到尾阅读 也可以按主题阅读 1 如果你想从头到尾阅读 那么你可以直接从第2章 用隐喻来更充分地理解软件开发 开始钻研 2 如果你想学习特定的编程技巧 那么你可以从第6章 可以工作的类 开始 然后
  • 为什么美国程序员工作比中国程序员工作轻松、加班少?

    作者 LJ说 责编 伍杏玲 本文经授权转载自LJ说 ID LjNotes 先问是不是 再问为什么 难道美国的程序员就不加班吗 他们就一天八小时工作 还想来就来 想走就走 非工作时间完全找不到人 还有什么食物饮料都免费提供 让我来告诉你真实的
  • webpack

    看一下完整报错 asset static js index js 4 04 KiB compared for emit name main src main js 39 bytes not cacheable built code gene
  • 基于Neptune开发板的键盘蓝牙模块DIY指南

    本期我们带来基于润和Neptune开发板 以下简称Neptune开发板 的键盘蓝牙模块DIY指南 利用Neptune开发板支持串口和蓝牙功能等特性 将有线键盘改造成蓝牙键盘 实现一个键盘被多操作系统 终端设备识别使用的功能 达到提高工作效率
  • C++ 检测内存泄露工具 -- Windows平台

    平台 Windows7 64bit 编译器G mingw 工具 Dr Memory 项目主页 https code google com p drmemory 可能要FQ 可能会很慢 所以 可以直接按照下面官方主页给出的链接下载 我也放了一
  • 人工智能应用实例:图片降噪

    人工智能应用实例 图片降噪 场景设置 对白色背景 黑色前景的黑白图片进行降噪处理 可以假定背景部分多于前景 图1 从左往右 原图 噪声图 降噪图 降噪模型 我们可以对图片建立这样一个两层的二维模型 底层表示原图 顶层表示任意的噪声图 xi为
  • Power BI 数据模型设计及搭建——星型模型&雪花模型

    前言 之前的笔记提到了 Power BI 数据模型的核心概念 本文继续深入讨论数据模型的设计架构 同时介绍两种常用的数据模型 星型模型和雪花模型 BI 的数据模型和数仓模型有什么不同 数据仓库和Power BI中使用的数据架构模型有一些相似
  • 创建SpringBoot项目时修改Server URL(下载路径)

    使用spring initializr创建Springboot项目时 IDEA默认的Server URL为 https start spring io 使用该下载路径缺点 1 从中央仓库进行下载 下载速度慢 2 当网络不稳定时 或网络访问限
  • 《动手学深度学习 Pytorch版》 4.6 暂退法

    import torch from torch import nn from d2l import torch as d2l 4 6 1 重新审视过拟合 整节理论 详见书本 4 6 2 扰动的稳健性 整节理论 详见书本 4 6 3 实践中的
  • js去掉小数点后面多余的0

    用parseFloat 如 var a 23 8000 alert parseFloat a 结果 23 8 当然这个也有可能有想不到的结果 document write parseFloat 12dream3456 789 documen
  • 4、编写程序,根据用户输入的数字转换成相应的中文的大写数字。例如,1.23转换为“壹点贰叁”。

    Python 4 编写程序 根据用户输入的数字转换成相应的中文的大写数字 例如 1 23转换为 壹点贰叁 问题遇到的现象和发生背景 输入含有0就不会输出 问题相关代码 import jieba ChineseNum 零 壹 贰 叁 肆 伍
  • 读书:滑铁卢

    又到4月23日国际读书日 又是一年轮回 有些老朋友可能知道 TC研修实验室有一条不成文的老传统 每逢此时 都会按书年度进行读书总结 至今 已是第4个年头 只不过 是遭遇 读书滑铁卢 的一年 当我到豆瓣查看这一年的读书记录时 我震惊了 纳尼
  • 模拟CMOS集成电路设计中的电流基准源及用Cadence Virtuoso IC617设计并仿真有关电路

    前言 本文为我自己的学习笔记 属于Cadence Virtuoso系列的进阶部分 采用的软件版本是Cadence Virtuoso IC617 其他文章请点击上方 看我制作的Cadence Virtuoso专栏内容 在前面的文章中 记录了电