DC-DC电源管理

2023-11-18

BUCK电源芯片的使用与选择

BUCK电路降压原理

在这里插入图片描述
在开关S闭合时,对电感L与电容C进行充电同时也对负载R供电,在开关S断开时储能元器件L与C继续对R进行供电并通过D1形成回路。输出电压Vo=Vi*(Ton/(Ton+Toff))

Ton:开关S闭合时间

Toff:开关S断开时间

BUCK电源芯片

通过使用BUCK电源芯片代替S,同时把Vo通过电阻分压连接到电源芯片电压反馈脚可以实现稳定的电压输出。BUCK电源的主要选型参数包括:输入最大电压、持续输出的最大电流、开关频率、同步整流/异步整流。

持续输出电流:主要由BUCK电源芯片的最后一级的功率mos管决定。

开关频率:表示S中的开关速度。在同一个电路中开关速度越大电感L和电容C的容量可以选择越小。现在的BUCK电源芯片大多数开关频率都会在250kHZ以上。

异步整流:使用二极管进行续流。也就是上图中的D1。

同步整流:通过控制mos管通断进行续流。采用同步整流方式会比异步整流方式效率高。

BUCK电源芯片外围电路搭建

在确定了某个型号的电源芯片可以满足要求后,就需要搭建外围电路了,通常按照用户手册的典型应用进行搭建即可,要注意反馈电压的分压电阻大小需要按照自身电路的电压输出要求进行选择。续流二极管一定要选择肖特基二极管或者快恢复二极管,不能使用普通的硅二极管。因为使用普通的硅二极管在高频(500kHz以上)的时候会出现反向导通的现象。

BUCK芯片的工作原理一定离不开上图。如果在搭建典型应用时,发现缺某些元器件,例如续流二极管D1,如果使用异步整流那么续流二极管一定能够在BUCk芯片内找到,其内部集成续流二极管。

TI开发了网页版的小工具支持用户把电源参数填入相应的框内后台即可完成buck电源芯片的选型,并且会帮用户完成原理图的搭建。

BUCK与线性电源比较

效率比较

BUCK电路在理论上可以达到98%以上的效率,而在实际应用中其转换效率也很容易达到90%以上,由于转化效率高,所以BUCK电源芯片使用时不易发烫。

线性电源的工作原理可以简单看成运算放大器与功率三级管构成的。线性电源芯片(例如AMS1117)有三个电源输入脚(Vin) 电源输出脚(Vout)输出电压调整脚(Vadj)
在这里插入图片描述
根据基尔荷夫电流定理可知:Iin = Iadj+Iout 由于Iadj很小所以Iin ≈ Iout

转化效率: Pout/Pin = (Vout * Iout)/(Iin * Vin) ≈ Vout/Vin,当Vin与Vout的电压差大时转化效率就会很低,导致芯片发烫。这也是为什么当AMS1117/LM371等系列的LDO芯片使用12V转化为5V时当输出只有200ma已经发烫严重,因为有58%的能量都用于发烫了。

纹波对比

BUCK电路由于是通过高频的开关实现电压的控制其输出电压的纹波会比线性电源大。如果在实际使用过程中,追求转化效率高而且纹波小可以把BUCK电路输出的电压再经过线性稳压芯片稳压之后再用于供电。

常用的BUCK电源芯片

TI : TPS5450、TPS5430、TPS5420

MPS : MP2307、MP4560、MP1593

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

DC-DC电源管理 的相关文章

  • 芯片科技科普2芯片分类

    02芯片分类 这么多芯片 有没有什么系统的分类方式呢 其实芯片的分类方式有很多种 按照处理信号方式可以分成 模拟芯片 数字芯片 信号分为模拟信号和数字信号 数字芯片就是处理数字信号的 比如CPU 逻辑电路等 模拟芯片是处理模拟信号的 比如运
  • 《SystemVerilog验证测试平台编写指南》学习笔记——线程以及线程间的通信(三)

    一 旗语 1 旗语的操作 2 带多个钥匙的旗语 二 信箱 1 测试平台里的信箱 2 定容信箱 3 在异步线程间使用信箱通信 4 使用定容信箱和探视 peek 来实现线程的同步 5 使用信箱和事件来实现线程的同步 6 使用两个信箱来实现线程的
  • 电巢独家直播|第七届世界无人机大会圆满落幕!

    当前 全球化秩序正进入新的调整阶段 数字化技术与生产生活深度融合 将加速推动数字化经济的全面渗透 中国经济也正由高速增长向高质量发展转变 这为无人机行业创造了更多的战略机遇 根据深圳市无人机行业协会统计 2022年 全国1 2万多家无人机企
  • verilog/systemverilog的随机数生成问题

    Verilog SystemVerilog 里面的随机函数 Verilog系统自带一些随机数发生函数 最常用的有random 语法如下 random seed 指定概率分布 如果希望生成的随机数具有一定的概率分布 还有一些系统函数可以选用
  • 《SystemVerilog验证测试平台编写指南》学习笔记——连接设计和测试平台(三)

    一 SystemVerilog断言 1 立即断言 2 定制断言行为 3 并发断言 4 断言的进一步探讨 二 四端口的ATM路由器 1 使用端口的ATM路由器 2 使用端口的ATM顶层网单 3 使用接口简化连接 4 ATM接口 5 使用接口的
  • 《每日一题》NO.18:哪些因素会影响标准单元的延迟?

    芯司机 每日一题 会每天更新一道IC面试笔试题 其中有些题目已经被很多企业参考采用了哦 聪明的你快来挑战一下吧 今天是第18题 标准单元是RTL2GDS流程的基础 哪些因素会影响到标准单元的延迟呢 我们在工程项目中应该如何处理这些因素呢 快
  • 芯片之家精选文章合集 (二):收藏起来慢慢看

    文 晓宇 上一次文章合集是2019 02 24 2020 03 28期间的 芯片之家精选文章合集 一 收藏起来慢慢看 点击阅读 本次 我们重新整理下过去一年写的文章 本次的文章合集是2020 03 29 2021 01 31期间的 文章内容
  • 【20201023期AI简报】OpenCV 4.5 发布、NVIDIA开源NeMo,更多精彩点我!

    导读 本期为 AI 简报 20201023 期 将为您带来过去一周关于 AI 新闻 12 条 其他互联网圈内新闻10 条 希望对您有所帮助 有更好的建议或者意见请在下方留言 AI 1 OpenCV 4 5 发布 DNN 模型在 ARM 平台
  • CH340芯片USB转RS485参考电路

    CH340可以实现USB转TTL串口电路 但是CH340芯片上不能直接出来RS485电平信号 所以要通过电平转换芯片来实现 由于RS485一般用半双工通信 所以需要一个使能信号来控制RS485收发器的方向 从支持RS485的功能来讲CH34
  • 谷歌的AI芯片设计

    机器学习最近经常出现在新闻中 一些早期的炒作已经平息 但 这种趋势仍然存在 现在它已经真正开始在芯片设计界掀起波澜 芯片设计中的机器学习和人工智能是一个如此庞大的领域 我开始迷失在所有的研究中 所以我想进入芯片设计领域的最新突破 平面规划
  • 【总结】NPU/CPU/GPU 傻傻分不清?

    本文主要解答以下问题 NPU是新玩意儿吗 芯片里面的CPU GPU NPU究竟是什么 它们是怎么工作的 引言 中国首款嵌入式NPU诞生 6月20日 中星微 数字多媒体芯片技术 国家重点实验室在京宣布 中国首款嵌入式NPU 神经网络处理器 芯
  • 设计补偿器网络以改善开关频率响应

    直流开关电压转换器 或 开关调节器 控制回路的特点是频率响应 频率响应影响开关调节器的反应时间对瞬态变化 精度和稳定性的影响 并在输入电压 负载和工作周期变化的情况下 如何保持设定的电压输出 工程师可以通过增加补偿器网络来改善开关调节器的频
  • 【如何快速学会verilog开发】

    什么是verilog编程 首先verilog是一门编程语言 verilog的主要应用场景是数字前端开发 也即是通常所说的RTL开发 verilog作为一种编程语言 是数字前段开发的必备工具 同时区别于面对对象语言 如C 等 函数式语言 py
  • SGMII协议解析

    什么是SGMII 先说什么是GMII MII MII是ethernet协议里面MAC层和PHY层之间的接口标准 MII是4bits的数据位宽 支持10 100M的数据传输 GMII前面G表示Gigabit 代表支持1000M的传输速率 需要
  • 《每日一题》NO.21:画出CMOS 非门/与非门/或非门的结构

    芯司机 每日一题 会每天更新一道IC面试笔试题 其中有些题目已经被很多企业参考采用了哦 聪明的你快来挑战一下吧 今天是第21题 CMOS Complementary Metal Oxide Semiconductor 互补金属氧化物半导体
  • 一枚芯片的成本是多少?(2)芯片硬件成本

    芯片硬件成本 计算封装和测试的成本这个没有具体的公式 只是测试的价格大致和针脚数的二次方成正比 封装的成本大致和针脚乘功耗的三次方成正比 如果CPU X采用40nm低功耗工艺的自主芯片 其测试成本约为2美元 封装成本约为6美元 因40nm低
  • 小米推出物联网软件平台Xiaomi Vela;苹果11月11日再开发布会,自研处理器Mac有望推出;华为:计划在上海建芯片厂...

    EA周报 2020年11月06日 每个星期7分钟 元宝带你喝一杯IT人的浓缩咖啡 了解天下事 掌握IT核心技术 周报看点 1 小米推出物联网软件平台Xiaomi Vela 可打通 IoT 应用 2 证监会回应蚂蚁集团暂缓上市 避免蚂蚁仓促上
  • OC5228 100V多功能LED恒流驱动器-高辉调光 65536:1 调光比

    同脚位拼对拼替代智芯HI7001 磁吸灯 舞台灯电源方案新贵 概述 OC5228 是一款外围电路简单的多功能平均电流型LED 恒流驱动器 适用于5 100V 电压范围的降压BUCK 大功率调光恒流LED 领域 芯片PWM 端口支持超小占空比
  • 66W真的比60W充电更快吗?基于Charge pump Charger的快充方案分析

    智能手机发展至今 充电功率和电池续航一直是人们最为关注的问题之一 从早期的5V 1A和5V 2A的低瓦数快充 到后来的高压大电流和低压小电流两极分化 不同手机厂商都制定了自己的充电协议 如OPPO的VOOC vivo的Flash Charg
  • 常用电子元件介绍与功能

    常用电子元件简介及其作用 一 电容 1 种类 1 CBB电容 2 铝电解电容 3 钽电解电容 4 高频瓷片电容 5 低频瓷片电容 2 作用 1 去耦 2 滤波器 3 储能 4 检波 5 无源晶振 6 隔直通交 3 总结 二 电感 1 种类

随机推荐

  • STM32F4单片机ADC采样及ARM-DSP库的FFT

    模拟信号经过ADC采样后变成数字信号 数字信号可以进行FFT运算 在频域中更容易分析信号的特征 本文将介绍如何用STM32F4的进行ADC采样 并利用ARMDSP库里的FFT算法对ADC采样值进行快速傅里叶变换 我使用的是STM32F407
  • CUDA编程中内存管理机制

    GPU设备端存储器的主要分类和特点 大小 全局 Global 和纹理 Texture 内存 大小受RAM大小的限制 本地 local 内存 每个线程限制在16KB
  • windows平台中使用vscode远程连接linux进行c++开发配置教程(内容详细适合小白)-2021-3-30

    文章目录 一 简要介绍 二 软件安装步骤 1 linux系统安装 2 vscode安装 3 ssh安装 4 配置Remote SSH 5 安装远程插件 6 简单小测试 三 配置vscode开发环境 1 默认设置 用户设置 远程设置和工作区设
  • Qt 开发环境搭建

    Qt开发环境搭建 Qt下载 Qt安装 Windows平台 离线安装 在线安装 Qt安装目录 VS2019搭建Qt开发环境 安装扩展插件 Qt VS Tools Qt Versions配置 问题 VS2019双击编辑UI时闪退 qt显示中文乱
  • 区块链物品溯源系统

    文章目录 前言 一 区块链有哪些特点 二 区块链能给品牌或者行业带来什么 1 信任度 2 小程序展示 总结 前言 区块链是一个典型的分布式协同系统 多方共同维护一个不断增长的分布式数据记录 这些数据通过密码学技术保护内容和时序 使得任何一方
  • Qt multiple definition of (function)

    前景 做项目代码优化 将原来的代码按简单工厂模式进行重新组合编写 对整个模块的文件夹进行分类 归纳 中途 出现这一问题 问题详述 某一类中的全部函数都有error multiple definition of function name 解
  • Linux 下刷 TWRP

    安装 adb 和 fastboot apt install android tools adb android tools fastboot 下载需要的 TWRP https dl twrp me flo 开机状态下进入 bootloade
  • async_await用法

    async作为修饰关键字修饰在函数前 表示该函数是一个异步函数 await的使用必须有async关键字 await等待的必须是一个promise对象 async返回的是一个promise对象 asyn function A return 星
  • pthread_cond_destroy()函数的使用

    NAME pthread cond destroy pthread cond init destroy and initialize condition variables SYNOPSIS THR include
  • 像数组一样使用NodeList:一个对象组合的有效用法

    场景 我是用querySelectorAll 查询了一些标记 并收到了一个NodeList响应 问题 节点列表类似于数组 比如 他们都有一个长度属性 它们都在括号中的索引访问它们的属性或者子元素 NodeList 0 尝试使用 map fi
  • 最小二乘法–高斯牛顿迭代法

    最小二乘法 高斯牛顿迭代法 本文将详解最小二乘法的非线性拟合 高斯牛顿迭代法 1 原理 高斯 牛顿迭代法的基本思想是使用泰勒级数展开式去近似地代替非线性回归模型 然后通过多次迭代 多次修正回归系数 使回归系数不断逼近非线性回归模型的最佳回归
  • ELK收集docker日志

    转载来源 ELK收集docker日志 1 安装docker 安装依赖 yum install y yum utils device mapper persistent data lvm2 添加软件源 yum config manager a
  • 【简单】228. 汇总区间

    原题链接 https leetcode cn problems summary ranges description 228 汇总区间 给定一个 无重复元素 的 有序 整数数组 nums 返回 恰好覆盖数组中所有数字 的 最小有序 区间范围
  • CVPR 2021 Object Detection

    一 关于3D有26篇 3DIoUMatch Leveraging IoU Prediction for Semi Supervised 3D Object Detection ST3D Self Training for Unsupervi
  • 数据结构---红包分配算法

    红包分配算法 错误解法 二倍均值法 JAVA实现 线段切割法 确定每一条子线段的长度 JAVA实现 问题如下 所有人抢到的金额之和要等于红包金额 不能多也不能少 每个人至少抢到1分钱 要保证红包拆分的金额尽可能分布均衡 不要出现两极分化太严
  • Linux下软链接方法切换CUDA版本

    Linux下软链接方法切换CUDA版本 Linux下安装多版本的CUDA 直接切换版本 CUDA切换 sudo rm rf usr local cuda 删除之前的软链接 sudo ln s usr local cuda 10 0 usr
  • Allegro如何导出和导入设计规则操作指导

    Allegro如何导出和导入设计规则操作指导 当需要借用另外一款PCB的设计规则时候 Allegro支持把PCB设计规则导入到另外一块PCB中 如下图 具体操作如下 打开规则管理器 打开后如下图
  • 黑马程序员mysql笔记--索引基本操作部分

    视频链接 https www bilibili com video BV1iF411z7Pu 1 3 1 索引的基本操作 1 3 1 1 索引概述 索引是什么 索引是通过某种算法 构建出一个数据模型 用于快速找出在某个列中有一特定值的行 不
  • 《A Metric Learning Reality Check》笔记

    1 是 metric learning 的一篇学术打假文 回顾了 deep metric learning 领域两个经典工作 contrastive loss triplet loss 和近年来 2017 2019 见文中 Table 6
  • DC-DC电源管理

    BUCK电源芯片的使用与选择 BUCK电路降压原理 在开关S闭合时 对电感L与电容C进行充电同时也对负载R供电 在开关S断开时储能元器件L与C继续对R进行供电并通过D1形成回路 输出电压Vo Vi Ton Ton Toff Ton 开关S闭