为什么你的LDO输出不稳定?

2023-11-01

原文来自微信公众号:工程师看海

前一阵朋友和我说当初用某型号LDO时,发现输出异常,仔细阅读datasheet后,更换输出电容解决。

LDO的输出电容对性能至关重要,除了会提高电源抑制比PSRR抑制噪声外,对环路稳定性也至关重要,电容除了容值参数外还有ESR(Equivalent Series Resistance)等效串联电阻参数,二者在选型设计时都要仔细考虑。

我们以PMOS LDO为例来仿真下ESR对LDO输出的影响,LDO输出电压为3.2V,输出电容为2.2uF,ESR是R4我们选取为0.1Ω,负载为50Ω(负载电流为3.2/50=70mA),当开关S1闭合时,负载为R6和R5的并联,此时负载电流大约是700mA,我们仿真的方法就是改变ESR电阻R4,切换负载电流,观察输出电压的变化。

仿真文件获取方法,公众号后台回复:LDO稳定仿真

下图是R4 ESR取0.1Ω时的输出结果,黑色曲线是从70mA到700mA反复切换负载电流的电流波形,红色是输出电压波形,可以看到电流变化时,输出电压只有微小的波动,整体还是稳定在3.2V。

下图是把ESR改为0.001Ω后的结果,刚开始输出是稳定的,一切换负载电流时,输出就异常。

下图是把ESR改为100Ω后的结果,刚开始输出是稳定的,切换负载电流时,输出也容易出现异常。

总之,LDO的输出电容对于维持稳压器的稳定性至关重要,并且必须满足最小电容和等效串联电阻 (ESR) 的要求。输出电容的增加会影响环路稳定性和瞬态响应, 电容的容值和ESR,太大或太小都不行 ,都容易引起环路震荡。

感谢点赞、分享、在看,让知识变得更简单

限时免费扫码进群,交流更多行业技术

推荐阅读▼

电池、电源

硬件文章精选

华为海思软硬件开发资料

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

为什么你的LDO输出不稳定? 的相关文章

  • RC串并联电路工作原理

    一 定义 RC电路全称Resistance Capacitance Circuits 又叫 RC相移电路 RC滤波器 RC网络 是一个包含利用电压源 电流源驱使电阻器 电容器运作的电路 一个最简单的RC电路是由一个电容器和一个电阻器组成的
  • BUCK电路原理及PCB布局与布线注意事项

    1 BUCK架构 Buck架构 当开关闭合的时候 当开关断开的时候 根据伏秒平衡定理可得 Vin Vout DT Vout 1 D T gt Vin Vout D lt 1 在实际DCDC应用中 当Q1闭合的时候 在图1 a中 红线示出了当
  • PCB是什么?你真的足够了解PCB吗?

    在电子行业有一个关键的部件叫做PCB printed circuit board 印刷电路板 这是一个太基础的部件 导致很多人都很难解释到底什么是PCB 这篇文章将会详细解释PCB的构成 以及在PCB的领域里面常用的一些术语 在接下来的几页
  • altium designer芯片引脚间距规则过小

    AD中芯片的引脚间距过小 例如stm32这种MCU 引脚又细又密 违反了默认间距规则 如上图所示的16mil 而触发绿色的报错 但是我们又不能因噎废食 而把整个PCB规则间距改大 因此最好的解决方案是 只修改这一个芯片的间距规则 依次点击
  • EMC测试的那些项目,你都知道么?

    转载 EMC电磁兼容 2022 03 27 08 30 EMC检测 电磁兼容性检测 的全称是Electro Magnetic Compatibility 其定义为 设备和系统在其电磁环境中能正常工作且不对环境中任何事物构成不能承受的电磁骚扰
  • 臭名昭著的MOS管米勒效应

    概述 MOS管的米勒效应会在高频开关电路中 延长开关频率 增加功耗 降低系统稳定性 可谓是臭名昭著 各大厂商都在不遗余力的减少米勒电容 分析 如下是一个NMOS的开关电路 阶跃信号VG1设置DC电平2V 方波 振幅2V 频率50Hz T2的
  • 手机内部充电电流控制原理图(如果手机支持快充,比如支持9V快充,则通过充电接口的D+、D-二根线,输出对应的高低电平组合,FP6601就会控制它的3脚接地,4脚悬空,此时R3与R2并联,改变反馈下拉)

    手机内部充电电流控制原理图 来源 电工之家 作者 电工之家 2019 12 08 10 48 7365次阅读 0 手机充电器电流控制方面 现在的手机充电器 无一例外 都使用了隔离式开关电源电路 充电器的体积 是最好的证明 对于隔离式开关电源
  • N-MOS和P-MOS驱动应用实例

    MOS在电路设计中是比较常见的 按照驱动方式来分的话 有两种 即 N MOS管和P MOS管 MOS管跟三极管的驱动方式有点类似 但又不完全相同 那么今天笔者将会给大家简单介绍一下N MOS管和P MOS管的工作原理 并结合自己实际的应用来
  • PCB阻焊层太近了会不会有问题?

    绘制pcb双层板 进行DCR检查 发现如下报错 于是回到pcb的界面去查看 原来是我的组焊层靠的很近 小于规则的6mil 这个报错有必要修改嘛 规则的设置如下 最小组焊层裂口是6mil 但是封装就是官网上下载下来的 是芯片封装引脚的问题 过
  • 树莓派raspberry pi 4 SSH默认密码无法登录解决办法

    以前玩过一段时间树莓派 只要开通ssh就可以 默认用户pi 默认密码 raspberry 远程连接就可以 但今天再玩却死活无法登录 如下 出了什么幺蛾子哦 上网一查 才知道pi账号在最近的raspberry pi os中因为安全原因已经删除
  • 电感与磁珠

    电感最重要的公式 它说明了电感的很多特性 比如 电感电流不能突变 电感的储能大小 电感的电流与电压的相位关系 还有电感的阻抗为什么是jwL 电感电流不能突变 电感电流为什么不能突变呢 来看这个公式 U等于负的L乘以di比dt Di比dt是指
  • 华为星闪联盟:引领无线通信技术创新的先锋

    星闪 NearLink 是由华为倡导并发起的新一代无线短距通信技术 它从零到一全新设计 是为了满足万物互联时代个性化 多样化的极致 创新体验需求而诞生的 这项技术汇聚了中国300多家头部企业和机构的集体智慧 华为更是其中的主要贡献方 在过去
  • Verilog HDL 语言笔记

    目录 一 基本语法 1 模块的结构 1 模块声明 2 端口定义 3 数据类型说明 4 逻辑功能描述 2 语言要素及数据类型 2 1语言要素 2 2 常量 2 3 变量和数据类型 2 4 参数 2 5 向量 2 6 存储器 2 7 运算符 3
  • 关于Altium Designer PCB元器件的3D封装

    关于Altium Designer PCB元器件的3D封装 虽然Altium Designer为我们提供了丰富的元件封装库资源 但是 在实际的电路设计中电子元器件技术的不断更新换代 有些特定的元器件封装仍需要我们自行制作 另外 有时根据工程
  • 电巢携手武昌工学院工程能力实训顺利开班!

    为深化校企合作 产教融合打造新工科建设 提升学生工程实践能力 电巢工程能力实训班按照不同岗位类别 匹配对应的企业岗位任职能力要求对学生开展分级培养 以产业需求为导向 培养创新型 应用型人才 6月6日下午4时 深圳电巢联合武昌工学院信息工程学
  • 2023年电赛E题详细讲解

    前言 E题是运动目标控制与自动追踪系统 其实就是一个红色激光追踪绿色激光 本文主要授人以渔 讲解思路 不含代码 建议自己编写 本文旨在和大家探讨一下更好的方案 欢迎讨论 读题 做题肯定是要读题的 本节主要是将一些主要的点 并反推出题人的出题
  • STM32的串口中断详解

    目录 中断配置 中断服务函数 1 中断服务函数名称查找 2 中断服务函数 3 可以选择的串口中断类型 extern u8 USART RX BUF USART REC LEN extern u16 USART RX STA 中断配置 使能接
  • 异步Buck和同步Buck的特点

    1 介绍 随着时代的发展 工业 车载 通信 消费类等产品都提出了小型化 智能化的需求 相应的 对于这些系统中的电源模块提出了小型化的要求 目前 市场上依然存在很多异步Buck电源管理芯片使用的场景 针对这些应用 采用同步Buck电源管理芯片
  • 变频器典型电路原理图文分析,了解变频器的内在。进线端用RST表示ABC三相,出线端用UVW表示ABC三相。应该只是相邻的字母表示三相,没有具体的物理意义

    变频器典型电路原理图文分析 了解变频器的内在 要想做好变频器维修 当然了解变频器基础知识是相当重要的 也是迫不及待的 下面我们就来分享一下变频器维修基础知识 大家看完后 如果有不正确地方 望您指正 如果觉得还行支持一下 给我一些鼓动 可以简
  • 锂电池管理系统(BMS)

    引言 在现代科技的推动下 锂电池已经成为各种电动设备和能源存储系统的首选能源媒介 然而 锂电池在充电和放电过程中存在一系列潜在的安全隐患 同时其性能和寿命也受到一些限制 为了解决这些问题 锂电池管理系统 BMS 应运而生 BMS不仅仅是一个

随机推荐

  • Java多线程——线程实现的三种方式

    线程的三种创建方式 1 继承Thread类 例子1 创建方式一 继承Thread类 重写run 方法 调用start开启线程 public class TestThread01 extends Thread Override public
  • Win7 IIS7解析漏洞复现

    一 漏洞说明 文件上传使用白名单做限制 只能上传图片文件 导致脚本文件无法上传 上传图片马绕过白名单文件上传的验证 但是图片马又无法解析 利用IIS7 5文件解析漏洞的特点 任意文件名 任意文件名 php 从而解析脚本文件 二 搭建环境 1
  • DA14585调试记录--获取蓝牙的MAC地址

    最近在调试DA14585的蓝牙芯片 中间遇到了一些坑 于是就随手将调试的过程记录下来 方便以后自己查看 芯片平台 DA14585 SDK 6 0 12 1020 2 编译工具 Keil5 这次的需求是获取蓝牙的MAC地址 第一反应是去SDK
  • 如何用python爬取公众号文章_如何使用 Python 爬取微信公众号文章

    我比较喜欢看公众号 有时遇到一个感兴趣的公众号时 都会感觉相逢恨晚 想一口气看完所有历史文章 但是微信的阅读体验挺不好的 看历史文章得一页页的往后翻 下一次再看时还得重复操作 很是麻烦 于是便想着能不能把某个公众号所有的文章都保存下来 这样
  • 提升SQLite数据插入效率低、速度慢的方法

    http blog csdn net majiakun1 article details 46607163
  • 关于veriloga和Verilogasm透射思考

    Verilogasm是一个如何诞生的语言系统呢 我认为是用来表达 功能器件的信号处理 的语言系统 有信号处理 那么必然就存在两个问题 1 测试的数据是什么样的 2 观察的器件的功能是如何的 用一个模型表征它结构 如下 首先从功能的角度来分类
  • 使用vscode写vue文件代码有时不提示

    背景 安装了volar插件 但是在vue文件中导入js文件代码不提示 准确来说是有时提示有时不提示 解决方案 插件冲突 卸载 JavaScript ES6 code snippets 插件 这个插件在vue文件中适配不是很好 很有可能是插件
  • 详细解读一下chatGPT模型提取信息和生成回答的过程

    当ChatGPT接收到一个问题时 它首先使用内部的算法将问题转换为机器可理解的格式 例如将问题转换为词向量 然后将其输入到预训练模型中 预训练模型是通过在大规模语料库上训练的神经网络模型 它可以将输入的文本序列转换为一个输出的文本序列 在这
  • vue element el-date-picker日期选择器选择时间区间

    1 在项目中使用到了element日期选择组件 选择日期区间最大为6个月之前是让不在这区间给限制不让选择 html部分
  • JAVA8 List的去重、过滤、映射(map)、分组、统计(sum、max、min、avg)、分页

    目录 1 实现List对象集合的简单去重 distinct 2 实现List集合的根据属性 name 去重 3 实现List对象集合的简单过滤 过滤为 null 的对象 4 实现List对象集合中获取其中某一属性 weight 的List集
  • 二、Shell解析器

    1 Shell解析器有哪些 在linux服务器上面执行如下命令 sudo cat etc shells 可以看到有6种解析器 2 linux默认使用的解析器是哪种呢 使用命令 echo SHELL命令 可以查看到 默认使用的是bash解析器
  • centos 网卡显示Error, some other host already uses address

    1 nmcli已经关闭 2 重启网卡报错 mac地址被占用 最后的解决办法 原因 地址冲突 1 永久解决 换IP地址 2 临时解决 以下方案 vi etc sysconfig network scripts ifup eth 注释下面那五行
  • 软件架构模式+系统架构+架构作图

    架构模式对比 分层模式 一般信息系统中最常见的4层划分如下 Presentation layer 表示层 也就是UI层 Application layer 应用层 也就是服务层 Business logic layer 业务逻辑层 也就是领
  • C语言关于动态内存管理(malloc、calloc、realloc、free)

    动态内存 前言 若是没有动态容量 在创建变量时 只能预先设计好容量 而这样的容量可能会出现过多的浪费或者是容量不足 不能灵活的增加或减少容量 运用好关于动态内存管理的函数 就可以解决这些问题 让我们来了解这些函数吧 一 malloc voi
  • LightGroupButton* sender = static_cast<LightGroupButton*>(QObject::sender());

    当某一个Object emit一个signal的时候 它就是一个sender 系统会记录下当前是谁emit出这个signal的 因此我们可以从对应的槽函数里面获得哪个发送的信号 有可能多个Object的signal会连接到同一个signal
  • 再见2015,一个小白领的格调

    当我一直沉默着做事情的时候 时间就像一条脱缰的野狗一样 肆意狂奔 快到让我忘记了买回老家过冬的衣服便放春节了 以至于现在我还满脑子的考虑穿什么过冬 而不是感叹15年已经过完 2015年1月1日 六个小伙伴在吃烤肉 依次诉说各自的新年计划 我
  • 逆矩阵(inverse matrix)的概念及其意义

    逆矩阵 inverse matrix 的概念及其意义 2015年09月17日 00 09 10 阅读数 21838 标签 逆矩阵为何需要逆矩阵逆矩阵应用逆矩阵实例逆矩阵与倒数 更多 版权声明 本文为博主原创文章 未经博主允许不得转载 htt
  • windows 远程连接debian_有没有xrdp大神,用windows远程debian一片空白。

    该楼层疑似违规已被系统折叠 隐藏此楼查看此楼 补充俩文件吧 分别是以root登录和以另一个非root账号登录的日志 root登录的 20170510 22 30 04 INFO A connection received from ffff
  • 利用el-upload组件在vue中上传文件

    以上传图片为例 action 使用的接口地址 on change 改变时调用的方法 file list 文件列表 limit 限制上传文件的数量 on success 成功后调用的方法 on exceed 文件超出个数限制时调用的方法 更多
  • 为什么你的LDO输出不稳定?

    原文来自微信公众号 工程师看海 前一阵朋友和我说当初用某型号LDO时 发现输出异常 仔细阅读datasheet后 更换输出电容解决 LDO的输出电容对性能至关重要 除了会提高电源抑制比PSRR抑制噪声外 对环路稳定性也至关重要 电容除了容值