谷歌的AI芯片设计

2023-11-13

机器学习最近经常出现在新闻中。一些早期的炒作已经平息,但
这种趋势仍然存在。现在它已经真正开始在芯片设计界掀起波澜。
芯片设计中的机器学习和人工智能是一个如此庞大的领域
我开始迷失在所有的研究中。
所以我想进入芯片设计领域的最新突破:平面规划。
谷歌一直在应用同样的人工智能能力,让他们击败最好的
成为该领域这个晦涩但重要的子类别的大师。
以及最近在该领域的一篇论文(我要屠宰这个名字)
Azalia Mirhoseini 和 Anna Goldie 用这种方法展示了他们的成功。
TechTechPotato 已经制作了一个视频提到这一点。这是一个伟大的作品。去看看吧。
就我而言,我会尽量避免踩老路,走得更深一点。
如果我失败了,请随时在评论中对我尖叫。
我建议您阅读我之前关于 EDA 软件的文章 - 什么
它是以及它如何帮助整个芯片设计过程。
平面规划是物理设计的第一个主要步骤。如果您从我们的讨论中回忆起
芯片是如何设计的,物理设计阶段在逻辑设计阶段之后。
逻辑设计人员完成工作后,芯片是一组逻辑和
内存电路用电线连接在一起。这种分组被称为“网表”。
在现代芯片设计中,引入了额外的抽象。
数以千万计的逻辑门被组合成称为标准单元的东西。
数以千计的内存块被组合成称为宏块的东西。
物理设计师的工作是将所有这些都放在芯片画布上,然后
然后用数十公里的电线将它们连接起来。几十公里的电线
指甲大小的芯片 - 现代技术令人惊叹。
平面规划的目标是放置和排列块和超级块
以最能满足所有要求且没有任何重叠的方式。
移动方块。从字面上看,这听起来像是孩子们的游戏,对吧?
最大的目标之一是尽量减少
“空白空间”,即平面图中未被街区覆盖的任何空间。
然而,除此之外,平面图
芯片设计人员需要牢记一系列其他因素。
一是在现代 VLSI 设计中,芯片平面图的面积、尺寸和形状趋于
等待修复。换句话说,别人已经决定芯片不能
大于这个或那个大小。手机经常出现这种情况,
芯片越小,里面的电池就越多。
另一个考虑因素是布线。贴片元件应放置
并且它们之间的电线应该以最小化延迟的方式连接,
功耗和热量。英特尔进行了研究,发现 51%
当驱动信号通过其互连时,会消耗微处理器的功率。
传统的 EDA 工具并没有真正做到这一点。他们更有资格定位数百万
指定参数内的小蜂窝。平面规划提出了相反的问题,
放置大块,几乎没有关于芯片未来参数的信息。
这就像试图找出所有门、走廊的位置,
墙壁插座、水连接和房子的窗户。但还不知道在哪里
房间是以及它们将如何使用。哦,这房子有超过 1000 万个房间。
这些可能性简直令人难以置信。如果围棋是比国际象棋复杂的一整级,
那么芯片布局规划又高了一个层次。
Mirhoseini 和 Goldie 论文说,国际象棋有 10 到 123 次方(不是 23 次)的状态数。
去,10 的 360 次方。
芯片布局规划?高达 10 的 9000 次方。
每次台积电或三星推出新的前沿节点,
网表变得更大,问题变得更加困难。
目前,芯片设计人员采用多种方法解决这个问题。
最流行的一种称为模拟退火。模拟退火使用
计算特定平面图成本的客观方程。此费用通常基于
在一些客观的输入因素上——通常是电线有多长和面积有多大。
通过将平面图转化为方程,计算机现在可以迭代地(或贪婪地,
正如他们所说)寻找全局最优解。
有点像您的图形计算器如何在数学课上尝试求解方程。
模拟退火存在一些缺陷。一方面,程序可能会卡在一个
靠近它开始搜索的地方的局部最优值。因此,该程序错误地声明
胜利太早了——没有意识到更好的全局最优值就在山上。
因此,有时我们可能会要求算法修改其行为
当在成本曲线的“山丘”上上升或下降时。这些“爬山方法”
帮助算法避开这些局部最优值以寻找最佳解决方案。
放置可能性的绝对数量会导致非常长的搜索时间,即使
成本函数本身并不难运行。因此,
设计师对该方法进行了非常有创意的调整。
一个调整是使用“紧凑的平面图”——没有模块可以
向左或向右移动。这允许表示平面图
作为节点的有序二叉树。然后我们可以用电脑尝试优化
每个节点相对于根节点的 x 和 y 坐标。我不得不说非常有创意。
给猫剥皮的方法不止一种。在我们结束之前,这里有另外两种方法:
分析方法和分区。
分析方法使用一个客观的数学公式和一组
约束来尝试计算最小可能的平面图。
这就像试图解决你在学校得到的代数方程之一。
分区试图通过将其分解成更小的电路来克服更大的问题。
你进行传球,在每一次传球中,你将画布分成更小的组,
一直优化,等等。
我对这些解释中的任何一个都不满意,
但这不是电气工程课程。
现实情况是,这些都不是灵丹妙药。到底,
设计师使用最适合他们情况的各种方法以半手动方式完成此操作
和约束以及健康剂量的人类直觉。没有人只使用一种东西。
由于测试和迭代需要很长时间,因此它成为真正的拖累
芯片团队生产力和迭代速度。如果我们有多年的发展和
发布一个新的芯片设计,那么这不会是一个游戏破坏者。但最后期限是存在的。
因此,尝试一种新方法的时机已经成熟。然后
是机器学习发挥作用的地方。
那么机器学习和人工智能有什么大不了的呢?我将暂停一下,简要解释一下。
人工智能这个词现在没有任何意义——一个宽泛的术语,有点像“云”。
很多东西都有人工智能。例如视频游戏角色和真空机器。机器学习,
然而,它确实意味着一些非常具体的东西,不一定可以与 AI 互换。
自 1950 年代以来,科学家们一直在开发人工智能。然而
这些方法以“符号人工智能”的概念为中心。符号 AI 假设人类
知识可以使用大量的手写规则和集合来近似。
这取得了成功。但事实证明,研究人员低估了多少内隐
我们人类共同分享的关于世界和我们自己的知识。基本的东西,比如“如果总统
拜登在华盛顿,那么他的左脚也在华盛顿。”本质上是常识。
机器学习是一种新的人工智能方法,致力于克服这个问题,由最近的
GPU 处理能力的进步。与其让人类编写规则,不如让数据来做。
神经网络是该概念的一种实现。你喂神经网络
一些数据。将网络的结果与预先标记的结果进行比较。
两者之间的差异称为“错误”。
目标是在不过度拟合数据的情况下最小化误差。
根据结果​​,进行调整并重新训练或重新测试整个事情。
有时你会得到一些奇怪的结果。
在这些情况下,您可能必须对整个过程进行更广泛的调整。
但是如果做得好,训练有素的网络确实可以工作得非常好。
谷歌团队像对待游戏一样处理这个问题。它有点像。像围棋,
有一块板(筹码画布)和不同的部件放置在该板上
(你的网表块)。甚至还有“获胜条件”,
尽管这些取决于各种平面图评估指标的相对重要性。
因此,目标是训练一个能够动态帮助芯片设计者的神经网络
与他们的平面规划工作。换句话说,帮助他们赢得比赛。
所以如果你看看 AlphaGo 是如何工作的,一个训练有素的神经网络可以帮助玩家
确定最佳动作和这些动作的获胜百分比。玩家可以
决定是否实际放置石头,使网络成为工具。
我最好的猜测是 Google 的 AI Floorplanner
行为类似——尽管我在论文中找不到解释
实际工具的工作原理。但它确实涵盖了他们如何创建该工具。
一、团队设置芯片的宽泛参数——网表元数据、流程
例如,技术节点。这些被输入神经网络。
然后训练神经网络。这是通过“展示”许多状态集来完成的,
行动和奖励。计算机显示一个芯片画布 - 一个“状态”。
然后它会放置一系列宏块(如果你从前面回忆
是内存块的聚合)到芯片画布上 - “动作”。
然后使用标准 EDA 工具放置标准单元或逻辑电路。
最后的画布被评估为它的奖励。奖励与线长负相关,
拥堵和密度。这些是平面图“青睐”的标准衡量标准。
然后将奖励信息提供回网络以供将来训练。
随着时间的推移,向网络展示了足够多的状态+动作+奖励循环的“情节”,它可以看起来
在任何芯片“画布”上,并且知道在哪里设置其宏,以便最大化最终奖励。
最后的结果很有趣。在某些方面,神经网络的表现与人类一样好。
最值得注意的是,它可以更快地完成这项工作。
在谷歌最新 TPU 芯片的设计阶段,人类专家必须进行迭代
使用最新的 EDA 工具在平面图上花费数月时间。他拿了网表代码,手动放置
块,然后在 EDA 评估平面图迭代时煮 72 小时的咖啡。
在这个缓慢的迭代周期中,一个 TPU-v4 平面图需要大约 6-8 周的时间才能完成。
但是 ML 平面规划器具有训练有素的能力,可以在众所周知的棋盘上遥遥领先,
能够在 24 小时内完成。
论文强调了速度。确实,速度增益非常大,并且具有显着的意义
值得。但报纸有时也说它“更好”,对此我不太确定。
是的,有时,ML 平面规划器做得更好。但人类在其他场合也做得更好。
然后有时行业标准的 EDA 工具让他们俩都被击败了。在大多数情况下,在所有
除了速度之外,这三种方法非常接近,通常在 2-5% 的范围内。
我认为当涉及到这些东西时,这是需要考虑的事情。
机器学习不是超人的魔法。它基于创建或策划的数据
人类——这通常意味着它的表现和他们一样好。
苹果可能是美国科技巨头中最好的芯片设计师。
但谷歌正在快速发展自己的芯片能力。
从战略上讲,他们似乎已经认识到,
他们自己的硬件对于实现卓越的成本和性能阈值至关重要。
他们首先开始在他们的数据库中展示这一点,现在在他们的消费硬件中。
论文指出,新的神经网络已经应用到芯片设计过程中
其最新的谷歌张量处理单元(TPU)。
他们也开始扩大在台湾的招聘规模,
所以我们应该期待在未来的芯片领域看到更多来自谷歌的东西。
最后一件事,如果机器学习可以帮助将宏块放置在芯片画布上
比人类更快,那么这种技能还有许多其他可能的用例。
其中包括硬件设计、城市规划以及疫苗规划和分发。
可能性非常有趣,我期待
看到这项技术在未来出现在更多的用例中。
好了,今晚就到此为止。感谢收看。如果您喜欢该视频,请考虑
订阅。您将可以在此频道上观看许多其他符合您兴趣的视频。
想给我发一封电子邮件?请在 jon@asianometry.com 给我留言。
我喜欢阅读你的电子邮件。介绍自己,提出一个主题,或更多。
直到下一次,我会再见到你们。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

谷歌的AI芯片设计 的相关文章

  • 芯片行业常用英文术语最详细总结(图文快速掌握)

    目录 一 简介 二 厂家分类 三 工艺和阶段 3 1 芯片工艺 3 2 芯片阶段 四 晶圆等级 五 其他英文解析 六 相关岗位及职能 一 简介 本文主要总结了半导体行业在工作中常用的英文含义 通过将内容分类 对生产厂家 工艺和阶段 晶圆等级
  • FPGA内部结构及时序分析

    FPGA时序分析 FPGA内部基本结构 查找表概述 数据传输路径 时序分析模型 知识补充 注 本文内容来源于B站UP主小梅哥爱漂流的视屏内容 本人整理出来前三节课的视频笔记 对视频内容感兴趣的同学可以去看看小梅哥的视频 视频链接为https
  • 院士、专家倾囊相授!26个免费5G课程发布,点击就可观看!

    冲哇 没有任何力量能阻挡我们学习的脚步 CIC科教网重磅推出的5G科普行免费课程来了 本篇文章 先让我们从CIC科教网说起 中国通信学会科普教育培训平台 即CIC科教网 是中国通信学会致力打造的信息通信领域科普教育服务平台 旨在忠实履行学会
  • 2019,那些属于飞桨的重要时刻

    2019已经悄然落幕 在过去一年中 飞桨加速崛起 在产品性能上高效迭代 并屡次斩获多项大奖 其取得的成绩有目共睹 这也意味着飞桨正领衔中国深度学习框架迎来高光时刻 现在 让我们一起来回顾一下2019关于飞桨的那些重要记忆 01 核心发布 一
  • 《SystemVerilog验证测试平台编写指南》学习笔记——连接设计和测试平台(三)

    一 SystemVerilog断言 1 立即断言 2 定制断言行为 3 并发断言 4 断言的进一步探讨 二 四端口的ATM路由器 1 使用端口的ATM路由器 2 使用端口的ATM顶层网单 3 使用接口简化连接 4 ATM接口 5 使用接口的
  • 华为2019数字芯片岗笔试解析二(多选部分)

    首发来自微信公众号 数字芯片设计 1 异步设计的特点是 A 没有时钟skew问题 B 可移植性高 C 低电源消耗 D 设计可靠性高 解析 同步电路设计利用时钟脉冲使其子系统同步运作 而异步电路设计不使用时钟脉冲做同步 其子系统是使用特殊的
  • 《每日一题》NO.18:哪些因素会影响标准单元的延迟?

    芯司机 每日一题 会每天更新一道IC面试笔试题 其中有些题目已经被很多企业参考采用了哦 聪明的你快来挑战一下吧 今天是第18题 标准单元是RTL2GDS流程的基础 哪些因素会影响到标准单元的延迟呢 我们在工程项目中应该如何处理这些因素呢 快
  • 设置激光驱动器电流

    激光二极管在驱动电流过大的情况下较容易损坏 所以在调整激光驱动电路时 用测试负载来代替激光二极管 测试负载与激光二极管类似 但不像激光二极管会被过量的电流损坏 当我们将驱动电流设置到合适之后 测试负载便可以用激光二极管代替 测试负载 测试负
  • 数字后端基本概念介绍——Track

    今天要给大家介绍的数字后端基本概念是Track Track是指走线轨道 和row一样 可以约束走线器的走线方向 信号线通常必须走在track上 Std Cell的高度通常用metal2 track pitch来表示 常用的 std cell
  • CPU是如何工作的

    晶体管到门电路 二极管的工作 相信大家都知道二极管的工作特性 那如何使用二极管去构建一个门电路呢 二极管的与门 上拉电阻 只有AB同时为高电平 输出为高电平 二极管的或门 下拉电阻 只要AB任一一个为高电平 输出为高电平 MOS管的工作 在
  • 学位真的那么重要吗?上交大博士亲述科研心路,获4万高赞,网友:这是知乎最好的回答...

    点击 凹凸域 马上关注 更多内容 请置顶或星标 学位真的那么重要吗 上交大博士亲述科研心路 获4万高赞 网友 这是知乎最好的回答 十三 转载整理自 时间规划局 量子位 报道 都说读博就像一场赌博 一入红门深似海 从此半点不由人 还时不时曝出
  • DC/DC转换器四大设计要点,这些技巧你掌握了吗?

    一 正确理解DC DC转换器 DC DC转换器为转变输入电压后有效输出固定电压的电压转换器 DC DC转换器分为三类 升压型DC DC转换器 降压型DC DC转换器以及升降压型DC DC转换器 根据需求可采用三类控制 PWM控制型效率高并具
  • 【如何快速学会verilog开发】

    什么是verilog编程 首先verilog是一门编程语言 verilog的主要应用场景是数字前端开发 也即是通常所说的RTL开发 verilog作为一种编程语言 是数字前段开发的必备工具 同时区别于面对对象语言 如C 等 函数式语言 py
  • 一枚芯片的成本是多少?(2)芯片硬件成本

    芯片硬件成本 计算封装和测试的成本这个没有具体的公式 只是测试的价格大致和针脚数的二次方成正比 封装的成本大致和针脚乘功耗的三次方成正比 如果CPU X采用40nm低功耗工艺的自主芯片 其测试成本约为2美元 封装成本约为6美元 因40nm低
  • 半导体工艺流程

    本文首发 公众号 半导体产业园 转载链接 https www sohu com a 257666855 100269991 spm smpc content share 1 16073320927520q75qyB comment area
  • 带你三分钟了解算力

    导读 数字经济时代 算力是新生产力 是支撑数字经济发展的坚实基础 加快发展算力 是我国打造数字经济新优势 构建 双循环 新发展格局 提升国家整体竞争力的重要保障 一 何为算力 算力是设备通过处理数据 实现特定结果输出的计算能力 常用FLOP
  • BES系列蓝牙开发总结

    博文索引 框架 BES2300X BES2500X 框架解析 一 UI及外围功能模块 BES2300X BES2500X UI 按键 提示音 指示灯 BES2300X BES2500X UI 电池管理模块 蓝牙操作及协议连接 数据流及数据编
  • 《每日一题》NO.38:谈谈芯片的IO排布形式,与封装之间的关系?

    芯司机 每日一题 会每天更新一道IC面试笔试题 其中有些题目已经被很多企业参考采用了哦 聪明的你快来挑战一下吧 多多刷题 巩固技术知识 也为求职笔面试加加分 大家快来做题吧 今天是第38题 本题来谈谈芯片的IO排布形式 与封装之间的关系 今
  • 互联网日报

    今日看点 吉利汽车科创板首发过会 抢滩 科创板整车第一股 新浪就 私有化 交易达成最终协议 总价25 9亿美元 淘宝直播12个月累计成交额超过3000亿元 用户同比增长160 滴滴货运日单量连续突破10万 持续拓展同城货运版图 360保险推
  • MCDF实验——Lab0

    MCDF实验 一 MCDF功能描述 二 设计结构 三 接口描述 1 系统信号接口 2 通道从端接口 3 整形器接口 4 控制寄存器接口 四 接口时序 1 通道从端接口时序 2 整形器接口时序 3 控制寄存器接口时序 五 寄存器描述 1 地址

随机推荐

  • 在Mac OS环境安装Composer

    简介 Composer 是 PHP 的一个依赖管理工具 安装流程 打开终端 输入下面指令 进入用户根目录 cd 执行安装指令 php r readfile https getcomposer org installer gt compose
  • 2023年数学建模:基于模拟退火算法的旅行商问题求解

    订阅专栏后9月比赛期间会分享思路及Matlab代码 目录 一 模拟退火算法的基本原理 二 实战案例 旅行商问题
  • YoloV8改进策略:新出炉的EMA注意力机制助力YoloV8更加强大

    本次改进使用最新的注意力机制EMA改进YoloV8 我们一起来看看效果吧 论文翻译 摘要 https arxiv org ftp arxiv papers 2305 2305 13563 pdf 在各种计算机视觉任务中 通道或空间注意力机制
  • Python3 数据挖掘系统搭建(四)

    上一节学习了数据清洗如何对内容去重 这一节继续学习一个完整的挖掘系统是怎么构建的 以搜索百度新闻数据为例 首先要建立评分系统需要创建一个数据表 起名为article吧 字段如下图 字段有company title href source d
  • 6-Mysql子查询,多表连接(内连接,外连接,交叉连接)

    子查询 select from 学生表 查询高技2班的学生信息 select from 班级表 1 子查询当做查询条件的一部分 select from 学生表 where 班级ID in select 班级ID from 班级表 where
  • java heap space默认值_java heap space解决方法

    java heap space解决方法 在JVM中如果98 的时间是用于GC Garbage Collection 且可用的 Heap size 不足2 的时候将抛出异常信息 java lang OutOfMemoryError Java
  • OpenGL 7.测试框架,批渲染

    测试功能基类 本节搭建一个简单的测试框架 实现在窗口上显示一个菜单栏 点击不同的选项 进入不同的功能 附加目录中添加src 方便添加头文件 新建目录如下 测试基类 Test h 测试菜单TestMenu 用于管理所有的测试 pragma o
  • matlab 波形仿真,MATLAB仿真波形的处理

    MATLAB仿真波形的处理 由会员分享 可在线阅读 更多相关 MATLAB仿真波形的处理 14页珍藏版 请在人人文库网上搜索 1 示波器波形的处理1 示波器介绍首先介绍示波器的基本功能 对Simulink Model 搭建的模型 进行仿真之
  • C#知识系列:paritial class必须是同一个程序集,否则引用别的程序集的paritial class会报错

    C 知识系列 paritial class必须是同一个程序集 否则引用别的程序集的paritial class会报错 提示 A程序集的xxxx cs与B程序集的xxxx cs冲突 请使用A程序集的xxxx cs
  • RTK系列【1】小日子RTKLIB 2.4.3 b34 源码与算法研究(单点定位调试)

    小日子RTKLIB源码研究 1 VS2017下发现的一些bug 1 1 SPP 单点定位 1 1 1 问题描述 Run Time Check Failure 3 The variable dion is being used without
  • 客户下单软件

    一 订货系统后台能否通过手机进行操作 问 订货系统后台能否通过手机进行操作 我们购买订货系统后能不能通过手机操作订货企业后台 答 订货系统有手机版本和APP 可通过手机操作 二 订货系统企业后台能否及时了解到客户的欠款信息 小程序公司订单管
  • cocosCreator IOS 微信授权

    官方文档 iOS接入指南 微信开放文档 1 把你的项目构建ios版包 使用Xcode 打开 2 首先呢 我们进入微信开发者平台 选择到官方的ios 接入指南项 点击 资源下载页 下载 demo 然后把demo 中的SDKexport 文件直
  • 一起学nRF51xx 16 -  adc

    前言 nRF51的ADC设计得比较简单 它有几个可配置的部分 1 PSEL pinselection nRF51系列芯片有8个模拟输入通道 并且这几个脚是固定的 不能像GPIO那样可以重新映射 PSEL用来选择需要采集模拟电压的通道 当该通
  • C语言实现动态通讯录(附带文件保存)

    作者简介 嵌入式入坑者 与大家一起加油 希望文章能够帮助各位 个人主页 rivencode的个人主页 系列专栏 玩转C语言 推荐一款模拟面试 刷题神器 从基础到大厂面试题 点击跳转刷题网站进行注册学习 C语言实现动态通讯录 一 动态通讯录的
  • 「第五篇」全国电子设计竞赛-电源题设计方案总结

    点击上方 大鱼机器人 选择 置顶 星标公众号 福利干货 第一时间送达 0 前言 许多朋友给我留言说 有没有电源题目的一些文章可以参考 为了给大家找更多的关于电赛相关的经验贴 我在网上疯狂游泳 不断筛选 终于在知乎上看到一位优秀的大佬 刘阳
  • 新一代MacBook Pro外观、屏幕、性能全面升级

    去年十一月 苹果正式推出了搭载M1自研处理器的MacBook Pro 13 3 M1设备的的出色性能饱受消费者赞赏 有业内人士称 苹果将会在近两年的时间将自家的PC产品全部更换为自研处理器 近日 就有媒体曝光了一款2021款MacBook
  • Ubuntu—vi编辑器的使用一

    vi编辑器 vi是Linux中最基本的编辑器 但vi编辑器在系统管理 服务器配置工作中永远都是无可替代的 vi编辑器的使用 vi有以下三种模式 命令行模式 用户在用vi编辑文件时 最初进入的是该模式 可以进行复制 粘贴等操作 插入模式 进行
  • Python 将CSV文件数据存入Mysql数据库

    我们有一个名为student csv的文件 里面包含有学生的学号 姓名 性别等信息 想要基于Python将CSV文件中的信息写入MySQL数据库的student info表中 下面给出具体实现代码 首先引入所需要的库 import pand
  • Linux下Git安装、配置及使用

    文章目录 1 Git的安装 2 Git的配置 3 Git的使用 之前写过一篇文章是关于如何在Windows下使用Git 详见 Git及TortoiseGit安装 配置及使用 下面记录一下我在Ubuntu下使用版本控制工具Git的过程 1 G
  • 谷歌的AI芯片设计

    机器学习最近经常出现在新闻中 一些早期的炒作已经平息 但 这种趋势仍然存在 现在它已经真正开始在芯片设计界掀起波澜 芯片设计中的机器学习和人工智能是一个如此庞大的领域 我开始迷失在所有的研究中 所以我想进入芯片设计领域的最新突破 平面规划