ISE在线逻辑分析仪Chipscope的使用

2023-11-16

前言

和 Quartus 的 SingleTapII 类似, ISE 也有自己的内置在线逻辑分析仪 Chipscope,在这里记录一下 Chipscope 的主要使用方法,以便以后回顾。
  本文使用 UART 串口回环模块进行验证,我们要抓取的信号是子模块 UART_RX 中的波特率计数器:cnt_baud,位宽 13bit,理论计数范围是0~5207,使能 rx_en 拉高的时候进行循环计数。

创建Chipscope文件

首先打开一个已经调试好的工程文件,选择工程文件,右击添加 new source。
  
在这里插入图片描述
  选择 chipscope definition and connection file,文件这里就命名为 CS,保存在工程 project 目录下,点击 Next ,下一界面直接点 Finish,完成 Chip Scope文件创建。
在这里插入图片描述

配置chip Scope文件

双击打开 CS文件,前面两个界面直接next跳过。
在这里插入图片描述
  Number of input trigger port 为设置触发接口的数量,这里设置为 1 。TRIGO 是我们设置的触发接口,因为需要抓取的cnt_baud以及使能信号rx_en一共是14bit 。所以这里 Trigger width 设为14bit 。Match unit 是我们使用的比较器的数量。决定了后面触发条件能够设置的复杂程度。这里只需要对 rx_en 判断,所以设为1即可。 Max number of squares levels.默认为16即可,指的是一个信号可以做一个最大16个序列的匹配,也就是说一个信号可以最多匹配16种情况并作为触发条件,设置好后点击 next 。
在这里插入图片描述
设置采集信号存储深度。
  Chipscope 实际上是在综合的RTL代码中插入RAM,使得数据经过RAM,再通过JTAG数据线把RAM当中的数据传回PC以供观察,所以这里设置的是RAM的深度。需要根据器件的资源合理设置。因为计数器 cnt_baud 最大为 5207 所以这里设置深度为8192,点击next。
在这里插入图片描述
设置采样时钟和数据信号。
  双击 Clock port 。
在这里插入图片描述
  在筛选栏中输入clk,点击 clk_BUFGP 再点击make connections ,建立好时钟连接。

在这里插入图片描述
  选择trigger data singles。在筛选栏中。输入cnt_baud,找到计数器信号。如果高低位顺序和要连接的数据相反,可以点击net name把调整数据高低位顺序。再选中,通道CH0~12, 点击Make a connection 。

在这里插入图片描述 
  同样的方法找到 rx_en 信号,建立连接。完成后点击OK 。

在这里插入图片描述
  完成 CS文件配置后,返回工程并保存文件。

在这里插入图片描述

在线调试

完成以上操作后。在工程文件中重新生成比特文件。连接好开发板并上电。
点击analyze design using chip scope,打开。

在这里插入图片描述
在这里插入图片描述
  点击 ok 。

在这里插入图片描述
  选择 Configure

在这里插入图片描述
  点击OK 。

在这里插入图片描述
  打开触发设置界面,将触发信号改为 rx_en 信号上升沿触发,位置 Position.改为100。

在这里插入图片描述
  点击启动。

在这里插入图片描述
  串口发送数据后。显示样本缓冲区已经满了。点击waveform打开波形界面,就可以看到我们采样的点了。
  
在这里插入图片描述
  如果波形中显示的bit不全。,可以在左侧的data port中把其他bit信号添加到波形界面。

在这里插入图片描述参考资料:
[1] V3学院FPGA教程

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

ISE在线逻辑分析仪Chipscope的使用 的相关文章

  • 【尚硅谷】SSM框架之SSM学习笔记

    MyBatis MyBatis简介 MyBatis历史 MyBatis最初是Apache的一个开源项目iBatis 2010年6月这个项目由Apache Software Foundation迁移到了Google Code 随着开发团队转投
  • 《Web应用安全权威指南》学习笔记

    第1章 什么是Web应用的安全隐患 第2章 搭建试验环境 邮件发送服务器Postfix POP3服务器Dovecot SSH服务器OpenSSH Web应用调试工具Fiddler 第3章 Web安全基础 HTTP回话管理 同源策略 Cook
  • FPGA硬件工程师Verilog面试题(基础篇二)

    作者简介 大家好我是 嵌入式基地 是一名嵌入式工程师 希望一起努力 一起进步 个人主页 嵌入式基地 系列专栏 FPGA Verilog 习题专栏 微信公众号 嵌入式基地 FPGA硬件工程师Verilog面试题 二 习题一 多功能数据处理器
  • 吃透Chisel语言.18.Chisel模块详解(五)——Chisel中使用Verilog模块

    Chisel模块详解 五 Chisel中使用Verilog模块 上一篇文章讲述了用函数实现轻量级模块的方法 可以大幅度提升编码效率 Chisel中也提供了一些好用的函数 方便我们编写代码 也方便Chisel编译器优化生成的硬件电路 在Chi
  • xilinx xdma PCIe中断bug

    xilinx xdma PCIe中断存在bug bug1 此中断虽然是msi或者msx中断 但是不中断cpu bug2 此中断不是边沿中断 而是电平中断 在驱动层需要不断地轮训查询中断事件 bug3 此中断持续时间必须长 而且在收到中断应答
  • FPGA_时钟显示(时钟可调)

    1 实验说明 在数码管显示数据的基础上 让六位数码管显示数字时钟 并且通过按键可以对时间进行修改 实验目标 六位数码管分别显示时间的时分秒 且通过按键可实现加减调整时间及清零功能 key1 切换键 选择待调整的时间单位 时 分 秒 key2
  • Verilog HDL——Modelsim仿真

    常用testbench语法 finish 和 stop finish任务用于终止仿真并跳出仿真器 stop任务则用于中止仿真 timescale time unit time precision time unit指定计时和延时的测量单位
  • 【数字IC】从零开始的Verilog SPI设计

    从零开始的Verilog SPI协议设计 一 写在前面 1 1 协议标准 1 2 数字IC组件代码 1 3 设计要求 1 4 其他协议解读 1 4 1 UART协议 1 4 2 SPI协议 1 4 3 I2C协议 1 4 4 AXI协议 二
  • [从零开始学习FPGA编程-28]:进阶篇 - 基本组合电路-奇偶校验生成器(Verilog语言版本)

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 目录 第1章 奇偶校验生成器 1 1 什么是奇校验 1 2 Verilog语言描述
  • [HDLBits] Exams/ece241 2014 q7a

    Design a 1 12 counter with the following inputs and outputs Reset Synchronous active high reset that forces the counter
  • centos7 Jumpserver堡垒机部署以及使用详情

    一 跳板机 堡垒机的概念 1 跳板机 跳板机就是一台服务器 运维人员在使用管理服务器的时候 必须先连接上跳板机 然后才能去操控内网中的服务器 才能登录到目标设备上进行维护和操作 跳板机的缺点 仅仅实现服务器登录安全 但是没有实现对于运维人员
  • CST2020 安装包和安装步骤

    安装包和破解码的百度云链接 链接 https pan baidu com s 1RNSWxVxb DIu8dg8gkCzAw 提取码 dve7 如果失效可评论留言 谢谢 1 关闭防火墙和杀毒软件 2 解压后 以管理员模式运行setup文件
  • API接口开发简述简单示例

    作为最流行的服务端语言PHP PHP Hypertext Preprocessor 在开发API方面 是很简单且极具优势的 API Application Programming Interface 应用程序接口 架构 已经成为目前互联网产
  • LeetCode题目笔记——17.19消失的两个数字

    文章目录 题目描述 题目难度 困难 方法一 暴力 代码 代码优化 方法二 数学方法 代码 总结 题目描述 题目直达 题目难度 困难 方法一 暴力 虽然题目说你能在 O N 时间内只用 O 1 的空间找到它们吗 但是也没有限制我们不能用暴力
  • 不在傻傻for循环!完美解决JPA批量插入问题

    前言 jpa在简单的增删改查方面确实帮助我们节省了大部分时间 但是面对复杂的情况就显得心有余而力不足了 最近遇到一个批量插入的情况 jpa虽然提供了saveAll方法 但是底层还是for循环save 如果遇到大量数据插入频繁与数据库交互必然
  • 基于FPGA的AHT10传感器温湿度读取

    文章目录 一 系统框架 二 i2c接口 三 i2c控制模块 状态机设计 状态转移图 START INIT CHECK INIT IDLE TRIGGER WAIT READ 代码 四 数据处理模块 串口 代码 五 仿真 testbench设
  • 【FMC141】基于VITA57.4标准的4通道2.8GSPS 16位DA播放子卡(2片DAC39J84)

    FMC141是一款基于VITA57 4标准的4通道2 8GSPS 2 5GSPS 1 6GSPS采样率16位DA播放FMC子卡 该板卡为FMC 标准 符合VITA57 4与VITA57 1规范 16通道的JESD204B接口通过FMC 连接
  • 【ZYNQ学习】PL第一课

    这节课讲什么 这节课的名字本来是想写为LED 但这一课里除了LED也有按键 又想换为GPIO控制 但关于PL的GPIO控制 不应该这么草率和简单 而且这一课有很多和ZYNQ或者PL关联性不强的东西要说 所以我写了删删了写改了好几遍 终于定为
  • Matlab图像处理系列——图像复原之噪声模型仿真

    微信公众号上线 搜索公众号 小灰灰的FPGA 关注可获取相关源码 定期更新有关FPGA的项目以及开源项目源码 包括但不限于各类检测芯片驱动 低速接口驱动 高速接口驱动 数据信号处理 图像处理以及AXI总线等 本节目录 一 图像复原的模型 二
  • Vivado ILA的debug信息保存与读取

    保存 write hw ila data D Project FPGA ILA Debug Data 202401041115 ila upload hw ila data hw ila 1 读取 display hw ila data r

随机推荐

  • 浅谈Nginx主配置文件

    浅谈Nginx主配置文件 1 Nginx配置文件组成部分 2 Nginx主配置文件结构 3 Nginx 全局配置 1 正常运行必备的配置 2 性能优化相关的配置 3 事件驱动相关的配置 4 调试和定位配置 1 Nginx配置文件组成部分 N
  • 【Mac使用系列】Mac锁屏及快捷键

    mac锁屏办法 我有所尝试 可用系统自带锁屏快捷键 Ctrl Command Q 或者参考方法2 直接设置TouchBar 这两种办法 亲测可用 我直接设置了TouchBar 锁屏解锁离得很近 比较方便 随你选 Ctrl Shift Pow
  • Python3,selenium动态下载某库PPT文档,省下的钱可以撸串了!!!

    selenium动态下载某库PPT文档 1 引言 2 代码实战 2 1 思路分析 2 2 页面分析 2 3 代码展示 2 4 代码解析 3 总结 1 引言 小鱼 你看啥嘞 把显示屏亮度调的这么暗 小屌丝 这 没有你想的那样 我这是再学习算法
  • property_get函数使用

    在 域的使用方法 int property get const char key char value const char default value int property set const char key const char
  • 设置div中的背景颜色及div中的字体颜色

    div style background black color white 菜单3 div 这样就设置了这个div的背景颜色为黑色 即background属性的颜色 字体颜色为白色 即color属性的颜色 效果如下图
  • C语言学习开头以及个人目标

    一 自我介绍 本人是一个来自某末流211大学的本科生 因为高考的时候发挥失常了 原本可以去一些末985垫底专业或中211的热门专业 最后只能是双非一本随便挑 但是因为不甘心失败就冲了好学校的差专业 最终还是调剂了材料专业 后来好好学习想转专
  • vi/vim 设置tab为4个空格

    vim 编辑器中 tab 有时不是4个空格 代码看起来比较凌乱 配置文件位置 etc vim vimrc Kali linux set ts 4 set softtabstop 4 set shiftwidth 4 set expandta
  • 转帖:DirectShow 在VS2005中环境配置

    转载请标明是引用于 http blog csdn net chenyujing1234 baseclasses参考代码 VS2005下编译通过 http www rayfile com zh cn files 12ac1b0c 7335 1
  • 关于QQ的技巧

    让电脑只能上到自己的QQ 任何QQ都无法登陆 首先把自己的QQ设置为自动登陆模式 接下来最关键的就是移动或删除QQ主目录下的WizardCtrl dll文件 这样就算别的QQ怎么样也登陆不了的了 如果你想上两个QQ的话就把QQ整个目录复制多
  • 2022年 IEEE VIS 科学可视化与体渲染论文整理与分析

    因为最近工作的关系 需要研究一下IEEE VIS中2017年以后的与我之前主要方向 体渲染 医学可视化 有关的论文 我把这些年全部的论文进行了筛选和梳理 总共筛选出57篇论文 打算写一个文章来记录这些内容 这个栏目是2022年的6篇论文的介
  • spark集群搭建与mysql元数据管理

    找个spark集群搭建是针对于上一篇hadoop的基础上搭建的 所以spark的版本也是要按照着hadoop版本进行下载 1 解压spark 修改spark的 etc profile的home目录 2 安装SCALA 并配置SCALA HO
  • ElementUI的简单使用方法讲解

    这里我们以引入button按钮为例 其实很多表单 或者 时间 等等的组件 引入方式都是一样的 举一反三吧 首先 yarn add element ui S 让我们来安装这个包 main js 全局注册 element ui这个组件 完整引入
  • Java的异常(分类、处理原则、应用实例、注意事项)

    学习笔记 异常 异常 是在运行时期发生的不正常情况 在java中用类的形式对不正常情况进行了描述和封装对象 描述不正常的情况的类 就称为异常类 以前 通常将正常流程代码和问题处理代码相结合 现在将正常流程代码和问题处理代码分离 提高阅读性
  • 2022年淘宝/天猫/京东618自动任务助手,带抢购功能源码

    下载地址 https wwc lanzouq com b01cfbrbi 支持自动完成淘宝 天猫 京东618任务 自动领取奖励 解放双手 棒棒的 先开启无障碍和悬浮窗权限 再运行助手 运行过程中可以按音量减 键强制停止 提前关闭媒体声音 直
  • 电梯安全监测丨S271W无线水浸传感器用于电梯机房/电梯基坑水浸监测

    城市化进程中 电梯与我们的生活息息相关 高层住宅 医院 商场 学校 车站等各种商业体建筑 公共建筑中电梯为我们生活工作提供了诸多便利 保障电梯系统的安全至关重要 特别是电梯机房和电梯基坑可通过智能化改造提高其安全性和稳定性 例如在暴风雨天气
  • 【转载】基于 NXP i.MX8QM 的 硬件隔离 介绍

    一 Hardware Partition 1 1 功能简介 Hardware Partition 中文叫硬件分区或者硬件隔离 基于 NXP i MX8QM 的 Hardware Partition 功能将 Resource Memory P
  • 2019顺网无盘服务器配置,顺网无盘如何不安装网维客户端上传系统到无盘服务器...

    14Q3的无盘万能镜像包中并没有安装网维大师客户端 为的是让大家可以自由选择安装网维大师客户端版本进行安装 而不会因为升级 降级这些麻烦又难理解的事情阻碍大家 近期也有人询问如何不安装网维客户端直接上传系统 其实非常简单 这里给大家做个详细
  • usb设备的检测及区分(sata硬盘、优盘及移动硬盘)

    原理 1 如果有外置usb设备插入 会产生 proc scsi usb storage目录 ide硬盘上默认 没有 并且会在 proc scsi usb storage目录中产生数字文件 此文件存储了设 备的相关信息 2 sys class
  • ES5和ES6声明的“全局变量”有什么不同?

    复看红宝石书关于执行上下文与作用域部分的时候发现了以前遗漏的小知识点 let和const的顶级声明不会定义在全局上下文中 1 什么是全局上下文 根据ECMAScript实现的宿主环境不同 表示全局上下文的对象可能不一样 但在浏览器中 我们所
  • ISE在线逻辑分析仪Chipscope的使用

    前言 和 Quartus 的 SingleTapII 类似 ISE 也有自己的内置在线逻辑分析仪 Chipscope 在这里记录一下 Chipscope 的主要使用方法 以便以后回顾 本文使用 UART 串口回环模块进行验证 我们要抓取的信