在 VHDL 中找到运算符“+”的“0”定义

2023-11-24

首先我想指出,这是我第一次尝试 VHDL,所以请客气一点。我想读取 X1 ... X4 输入并在输出处生成输入的总和。这是我的代码

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity counter_of_aces is 
  Generic(N: integer := 3);
     port( X1, X2, X3, X4 : IN BIT; 
        count: out std_logic_vector(N-1 downto 0)); 
end counter_of_aces;

architecture behavioral of counter_of_aces is 
signal counter : std_logic_vector(Ν-1 downto 0);
begin 
  process (X1, X2, X3, X4) 
  begin
    counter <= "0";
    if(X1='1' OR X2='1' OR X3='1' OR X4='1')then 
        counter <= counter + "1"; --O counter λειτουργεί ως στοιχείο μνήμης 
    else
        counter <= counter;
    end if; 
  end process; 
end behavioral;

我收到以下错误

ERROR:HDLCompiler:69 - Line 11: <í> is not declared.
ERROR:HDLCompiler:1731 - Line 17: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"
ERROR:HDLCompiler:854 - Line 10: Unit <behavioral> ignored due to previous errors.

它指的是哪个“i”?其他的又如何?提前致谢。


启动你的 VHDL

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

在 VHDL 中找到运算符“+”的“0”定义 的相关文章

  • 二进制补码 VHDL

    我只是想用 VHDL 制作一个简单的二进制补码设备 但它抛出了这个非常烦人的错误 我不确定我做错了什么 可能是一些非常愚蠢的事情 错误是 错误 10327 twocompliment vhd 21 处的 VHDL 错误 无法确定运算符 na
  • VHDL——连接开关和LED

    我有 Xilinx Spartan6 和下一个 VHDL 代码 library ieee use ieee std logic 1164 all use ieee numeric std all entity Switches Leds i
  • 如何在 VHDL 中读取文件时消除空格

    我的输入文件中有以下数据可供读取 10101100 11010100 10101100 11010100 11111110 10111001 11111110 10111001 我需要读取每个半字节并将它们写入数组 但由于空格 行的长度会变
  • 使用 VHDL 实例化 FPGA 中的 RAM

    我试图按照中的指导实现双端口 RAM这篇优秀的博文 http danstrother com 2010 09 11 inferring rams in fpgas 然而 ModelSim 在编译时给出以下警告 Warning fifo ra
  • 使用“downto”进行 VHDL std_logic_vector 索引

    我想单独设置 std logic vector 的位 以便轻松设置单个位或位组的注释 这是我所拥有的 signal DataOut std logic vector 7 downto 0 DataOut lt 5 gt 1 Instruct
  • 同时读取和写入寄存器

    我计划在 FPGA 上用 VHDL 设计一个类似 MIPS 的 CPU CPU 将具有经典的五级管道 没有转发和危险预防 在计算机体系结构课程中 我了解到第一个 MIPS CPU 用于在时钟上升沿读取寄存器文件并在时钟下降沿写入 我使用的F
  • 如何在 Sphinx 运行时预处理源文件?

    我已经为我的项目设置了 Sphinx 文档 并希望提取源文件的文档字符串并将它们嵌入到最终文档中 不幸的是 Sphinx 不支持源文件的语言 VHDL VHDL 似乎没有 Sphinx 域 所以我的想法如下 挂钩 Sphinx 运行并在 S
  • “警告 C0007:架构具有未绑定的实例”问题!

    我从 数字设计基础 一书随附的 CD 中获取了以下源代码 当我尝试运行该程序时 出现以下错误 Compiling Fig17 13 vhd C Users SPIDER Desktop EE460 The Final Project Fig
  • 状态机;为什么只有最后一个状态有效?

    我有一个有 6 个状态 3 个主要状态 的状态机 只有最后一个状态有效 但前 2 个状态无效 共 3 个 只有最后一个状态有效 我发现了问题 当我移除去抖电路时它可以工作 但我需要去抖电路 我从网上得到了去抖电路 如果有人可以提供帮助 我会
  • 错误(10028):无法解析网络的多个常量驱动程序... VHDL 错误

    我正在尝试编写一个代码来检测 din 信号的上升沿 并在发生后将 doout 提高 5 个时钟周期 我在编译时不断收到不同的错误 但我不确定它们的含义 我认为我对 VHDL 中的一些概念缺乏基本的了解 但遗憾的是在网上查找并没有给我带来太大
  • 模拟器和合成器之间初始化状态机的差异

    我的问题是关于合成状态机中使用的第一个状态 我正在使用莱迪思 iCE40 FPGA 用于仿真的 EDA Playground 和用于综合的莱迪思 Diamond Programmer 在下面的示例中 我生成一系列信号 该示例仅显示引用状态机
  • 使用双寄存器方法解决亚稳态问题

    为了解决Verilog中不同时钟域引起的亚稳态 采用双寄存器方法 但据我所知 亚稳态的最终输出尚未确定 输出独立于输入 那么 我的问题是如何保证使用双寄存器方法输出的正确性 Thanks 您不能完全确定您避免了亚稳态 正如您所提到的 亚稳态
  • 如何在 VHDL 中将整数作为十六进制写入标准输出?

    我可以打印一个integer作为十进制到标准输出 library std use std textio all entity min is end min architecture behav of min is begin process
  • VHDL 中的进程是可重入的吗?

    一个进程是否可以连续运行两次或多次VHDL 如果在进程的顺序执行未完成的情况下发生另一个事件 在敏感信号列表上 会发生什么 有可能还是我的VHDL流程中的模型完全错误 进程运行时不会发生任何事件 当进程被事件唤醒时 它会运行到完成 结束进程
  • vhdl中process语句的顺序执行

    对于vhdl中的process语句 据说process语句内部的执行顺序是连续的 我的问题是 请先看下面的代码 a b和c信号在进程语句中的if语句中是同时还是顺序分配给它们的新值 process clk is begin if risin
  • VHDL - FSM 未启动(仅在时序仿真中)

    我正在写我的硕士论文 而且我对 VHDL 还很陌生 但我仍然必须实现一些复杂的东西 这是我必须编写的最简单的结构之一 但我仍然遇到了一些问题 它是一个 FSM 采用低电平有效同步信号 用于对 DAC 进行编程 实现 24 位移位寄存器 这只
  • 学习 VHDL 的最佳方法? [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心 help reopen questi
  • 如何从 Spartan 6 写入 Nexys 3 FPGA 板上的 Micron 外部蜂窝 RAM?

    我到处都查过了 数据表 Xilinx 网站 digilent 等等 但什么也没找到 我能够使用 Adept 工具来验证我的蜂窝 RAM 是否正常运行 但我找不到任何库存 VHDL 代码作为控制器来写入数据和从中读取数据 帮助 找到了此链接
  • 仅使用 std_logic_vector 包将 std_logic_vector 与常量进行比较

    我仅在 VHDL 文件中使用以下包 library IEEE use IEEE STD LOGIC 1164 ALL 在代码中 我将 std logic vector 信号 A 与常量值进行比较 例如 if A lt 00001011 th
  • 32x8 寄存器文件 VHDL 测试台

    我已经用 vhdl 编写了该电路的汇编代码 我想用测试台来模拟它 RegWrite 1 位输入 时钟 写寄存器个数 3位输入 写地址 写入数据 32 位输入 数据输入 读取 寄存器编号 A 3 位输入 读取地址 读取寄存器编号 B 3 位输

随机推荐

  • htaccess多参数重写规则

    我知道这个问题被过度询问 但找不到任何适合我的问题的东西 我目前正在创建一个网站 我的网址如下 www foo com 或www foo com index php 它们可以采用 1 2 或 3 个不同的参数 例如 www foo com
  • 有没有办法使用 API 从 Google Drive 上的多个文件创建 zip 文件?

    如果您下载目录 Google 云端硬盘网络界面允许您下载单个 zip 文件 但是 我找不到使用 API 来做到这一点的方法 是否可以使用 API 在驱动器上创建多文件 zip 更新 Tanakie 的代码有效 这很棒 但是 我只能在我的个人
  • C# 实时 Try Catch

    我希望得到真正使用 C 进行实时编程或真正了解该语言内部原理的人的回复 我知道异常不应该用于处理正常处理 而只能用于检测错误情况 关于这个话题有很多讨论 我想知道仅仅使用 try catch 块是否会导致运行时间变慢 除非程序必须结束 否则
  • window.history.go(-1) 无法在 iOS 主屏幕应用程序中工作 [重复]

    这个问题在这里已经有答案了 因此 我试图在 iPhone HomeScreen 应用程序上将用户重定向回历史记录中的一级 但由于某种原因它只是没有触发 当前代码如下window history go 1 我也尝试过其他各种版本window
  • [:shell 编程中的意外运算符[重复]

    这个问题在这里已经有答案了 My code bin sh filename choose sh read choose choose y o choose Y echo Yes exit 0 choose n o choose N echo
  • 在 .csproj 中包含项目范围之外的内容文件

    我有一个 C 项目 MyProject csproj 位于 C Projects MyProject 我还有想要复制到该项目的输出目录中的文件 但是 这些文件位于 C MyContentFiles 位置 即它们不在项目范围内 该目录也有子目
  • C# 在运行时添加带有值的按钮[关闭]

    Closed 这个问题需要细节或清晰度 目前不接受答案 我想在运行时向我的选项卡控件添加一个具有值的按钮 许多教程展示了创建与数据库的连接时是如何完成的 有没有什么方法可以在不连接数据库的情况下完成 在我将数据输入到两个文本框中并单击 保存
  • 无法覆盖 s3 中的内容处置标头

    我正在使用以下 php 函数为公众提供临时访问私有文件的权限 function get s3 signed url bucket resource AWS S3 KEY AWS s3 secret key expire seconds ex
  • IFrame 是否被 Google 抓取?

    我有一个 iframe 它的源是从 servlet 响应中获取的 那么 iframe 的内容会被抓取吗 Google 现在确实会抓取框架内容 只是还不确定有多少股权被传递给链接 http www serroundtable com goog
  • 如何删除 matplotlib 子图中的填充/边框

    第二个子图只是带有叠加图的第一个图像 在第二个图中 似乎有白色填充 边框 如何删除这个填充 空白 为了完整起见 这里是执行绘图的代码片段 fig ax plt subplots 1 2 fig set size inches 16 6 fo
  • 如何用Java创建Design QR码?

    我想用 Java 创建设计 QR 码 设计 QR 码可能包含图形形式的徽标 这是此类设计的代码的示例 如何创建这样的二维码 我刚刚找到了一个可以创建此类二维码的软件 有一种不同的方法可以将图片放入二维码中 代替 在冗余部分上乱涂乱画并依靠纠
  • 如何将生成器的下一个值放入列表中

    我制作了一个生成器来逐字读取文件 并且效果很好 def word reader file for line in open file for p in line split yield p reader word reader txtfil
  • JavaScript 中 == 和 === 有什么区别? [复制]

    这个问题在这里已经有答案了 可能的重复 Javascript vs 我使用哪个 等于 运算符重要吗 JavaScript 什么时候比 更有意义 以下方法在将字符串与未定义值进行比较时有什么区别 var x if x undefined al
  • Interface Builder 在 MacRuby 中看不到 Outlet

    我正在尝试使用 XCode 和 Interface Builder 构建一个基本的 hello world 应用程序 但是 在 Interface Builder 中我看不到连接的插座 我转到对象检查器窗格的连接选项卡 它显示 新引用插座
  • pandas 按 n 秒分组并应用任意滚动函数

    我有一些加速度计读数的 csv 数据 格式如下 不完全是这样 真实数据具有更高的采样率 2013 09 28 17 36 50 322120 0 152695 0 545074 0 852997 2013 09 28 17 36 50 62
  • Thymeleaf 将参数从 html 发送到控制器

    我是 Thymeleaf 的新手 我正在尝试创建简单的 CRUD 应用程序 我正在尝试通过删除按钮删除客户类的对象 如何使用 Thymeleaf 将参数 例如 id 设置为调用 deleteUser 的方法 这是我的控制器 package
  • 将继承的对象存储在数据库中

    我试图找出将对象模型中的继承关系映射到关系数据库的最佳方法 例如 考虑以下类结构 public Class Item public String Name get set public int Size get set public Cla
  • 对于张量流中的二元分类,成本函数始终返回零

    我在张量流中编写了以下有问题的二进制分类程序 无论输入是什么 成本始终为零 我正在尝试调试一个较大的程序 该程序没有从数据中学习任何内容 我已经将至少一个错误缩小到总是返回零的成本函数 给定的程序使用一些随机输入并且存在相同的问题 self
  • 如何使用用户生成的整数数组填充 dataGridView

    有了这个 dataGridView DataSource theData Select x index gt new CreatureRoll x CreatureLabel index OrderByDescending x gt x C
  • 在 VHDL 中找到运算符“+”的“0”定义

    首先我想指出 这是我第一次尝试 VHDL 所以请客气一点 我想读取 X1 X4 输入并在输出处生成输入的总和 这是我的代码 library IEEE use IEEE STD LOGIC 1164 ALL entity counter of