如何在 Verilog 中将长语句分成行

2023-11-27

例如,我有一个很长的声明:

    $display("input_data: %x, 
              output_data: %x,
              result: %x",
              input_data,
              output_data,
              result);

如何在 Verilog 中将其变成单语句和多行?


您需要分解引用的字符串。这是一种方法:

module tb;

initial begin
    integer input_data  = 1;
    integer output_data = 0;
    integer result      = 55;
    $display("input_data: %x "  , input_data,
             "output_data: %x " , output_data,
             "result: %x "      , result);
end

endmodule

Outputs:

input_data: 00000001 output_data: 00000000 result: 00000037 
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

如何在 Verilog 中将长语句分成行 的相关文章

  • 在 SystemVerilog 中 fork join_none 后仅等待一些线程完成

    在 SystemVerilog 中 我需要等待在 fork join none 结构内执行的一些线程完成 但是在另一个 fork join none 结构中还有另一个永远不会结束的进程 我的代码如下所示 fork process that
  • SV 或 UVM 中的正则表达式

    我需要调用哪些函数才能在 Systemverilog UVM 中使用正则表达式 注意 我不是问如何使用正则表达式 只是问方法名称 首先 如果您想使用正则表达式 您需要确保您使用的是与其 DPI 代码一起编译的 UVM 库 即UVM NO D
  • Modelsim 对 SV 的支持

    我目前正在使用 modelsim SE 5 8e 它不支持SystemVerilog 我需要使用 SystemVerilog 来设计和验证我的项目 您知道哪个版本的 Modelsim 能够很好地支持 sytemverilog 的设计和验证子
  • 使用forever和always语句

    以下两个代码都会生成一个时钟 我需要知道除了时钟生成之外 永远循环是否还有其他用途 我只在时钟一代中遇到过永远 如果只是为了这个目的 那岂不是毫无用处 initial begin clk 0 forever begin 5 clk clk
  • 如何生成异步复位verilog总是阻塞凿子

    Chisel 始终生成敏感度列表中仅包含时钟的块 always posedge clk begin end 是否可以将模块配置为使用异步重置并生成这样的始终块 always posedge clk or posedge reset begi
  • 在断言中使用“sequence.triggered”时重置感知

    我有一些断言使用triggered序列的性质 这对于检查 当 X 发生时 Y 一定在过去的某个时间发生 形式的属性很有用 让我们举一个简单的例子 给定三个信号 a b and c c仅允许在以下情况下走高 a3 个周期前为高 并且b2 个周
  • C 中对“main”的未定义引用

    您好 我在使用 gcc 编译 c 代码时遇到以下错误 usr lib gcc x86 64 redhat linux 4 4 6 lib64 crt1 o In function start text 0x20 undefined refe
  • 在verilog中将wire值转换为整数

    我想将电线中的数据转换为整数 例如 wire 2 0 w 3 b101 我想要一个将其转换为 5 并将其存储在整数中的方法 我怎样才能以比这更好的方式做到这一点 j 1 for i 0 i lt 2 i i 1 begin a a w i
  • if 语句导致 Verilog 中的锁存推断?

    我正在编写用于合成算法的 Verilog 代码 我对哪些情况可能导致推断锁存器有点困惑 下面是这样的一段代码 虽然它在模拟中工作得很好 但我担心它可能会导致硬件问题 always b1 or b2 b1 map b2 map m1 map
  • 如何使用 Verilog 和 FPGA 计算一系列组合电路的传播延迟?

    我是 FPGA 和 HDL 的新手 但我正在尝试学习 但无法弄清楚这一点 如何通过多个级别的组合逻辑来计算或估计传播延迟 我可以仅凭经验确定这一点 还是可以在设计时弄清楚 在这种情况下 我使用 FPGA 来实现奇偶校验设置和检查电路 该电路
  • verilog $readmemh 对于 50x50 像素 RGB 图像花费太多时间

    我正在尝试编译用于 FPGA 编程的 verilog 代码 我将在其中实现 VGA 应用程序 我使用 QuartusII 和 Altera 我正在尝试正确使用 readmemh 来逐像素获取图片 现在 我已经使用 matlab 将图片转换为
  • 向左旋转 verilog 大小写

    我的任务是用verilog编写一个16位ALU 当我做需要旋转操作数和进行2的补码加法和减法的部分时 我发现了困难 我知道如何用纸和铅笔解决这个问题 但我无法弄清楚如何在 Verilog 中做到这一点 例如 A表示为a15 a14 a13
  • 系统verilog中的打包向量与未打包向量

    看看我在 System Verilog 中维护的一些代码 我看到一些信号的定义如下 node range hi range lo x 以及其他定义如下 node y range hi range lo 我明白那个x被定义为打包的 而y被定义
  • 为什么 Verilog 不被视为编程语言? [关闭]

    Closed 这个问题是基于意见的 help closed questions 目前不接受答案 教授在课堂上说学生不应该说他们学会了用Verilog 编程 他说 Verilog 之类的东西不是用来编程的 而是用来设计的 那么 Verilog
  • VIM 高亮匹配开始/结束

    我正在尝试找到一个插件 它将突出显示与 Verilog 匹配的开始 结束语句 VIM 可以使用花括号 方括号 但不能使用它的开始 结束 我希望 VIM 突出显示正确的开始到正确的结束 在我看来 最好的选择是使用 matchit 该脚本是 v
  • reg 声明中的位顺序

    如果我需要使用 4 个 8 位数字 我会声明以下 reg reg 7 0 numbers 3 0 我对第一个和第二个声明 7 0 和 3 0 之间的区别感到很困惑 他们应该按什么顺序来 第一个是保留数字的大小 而第二个是保留数字的数量 还是
  • Verilog 按位或 ("|") 单子

    我见过 Verilog 代码 其中使用了按位或运算符 目的是什么 例如 address 15 14 0 or address 15 14 io din ramrd 不能省略 吗在这些情况下 在这种情况下 它充当归约运算符 例如 4 b100
  • 系统 verilog 中没有类型的输入

    我在一个系统 verilog 代码的输入和输出的示例中遇到过module没有说明它们的类型 例如logic wire module mat to stream input 2 0 2 0 2 0 a b input newdata inpu
  • 如何匹配和删除队列中的元素?

    根据1800 2012 规格 http standards ieee org getieee 1800 download 1800 2012 pdf Queue delete input int index 删除 SystemVerilog
  • \add_34/U1_1_6 的 Verilog 命名约定

    有人可以解释一下这个命名约定在下面的 Verilog 行中意味着什么吗 我不知道这是什么 add 34 部分的意思是 ADDHXL add 34 U1 1 6 A n1022 B add 34 carry 6 CO add 34 carry

随机推荐

  • 在dygraph中显示平均值的水平线

    我正在尝试向已显示时间序列数据的 dygraph 添加一条水平线 我有完整数据的平均值 我想将其显示为动态图上的静态水平线 有谁知道如何简单地做到这一点 我已经检查了以下链接 http dygraphs com tests highligh
  • 为什么从 AT&T 语法切换到 Intel 语法会使本教程在使用 GAS 时出现段错误?

    我正在完成一些教程http www ibm com developerworks linux library l gas nasm index html熟悉 x86 x64 本教程代码使用提供的代码进行编译和运行 不会出现任何问题 该代码使
  • 从python中的类列表中随机选择x个项目

    在 jython 中 我有一类定义如下的对象 class Item def init self pid aisle bay hits qtyPerOrder self pid pid self aisle int aisle self ba
  • 无法创建新环境,出现 CondaVerificationError:ncurses 包已损坏

    我在尝试创建新环境时收到此错误 IE conda create n jupyter python 3 6 y Preparing transaction done Verifying transaction failed CondaVeri
  • 使用 FileSaver.js 保存 PNG 文件

    我正在尝试使用 FileSaver js 下载从我的 Express 应用程序提供的 PNG 文件 这些文件作为 base64 编码的字符串发送 但是当我尝试使用 FileSaver js 保存它们时 它们会损坏 这就是我试图拯救他们的方式
  • 电子邮件意图的附加功能 - 首选项 XML

    我想从 xml 首选项屏幕触发电子邮件 并附加预定义的主题并将光标启动到电子邮件应用程序的正文字段中 这是我到目前为止所得到的
  • Numpy 或 SciPy 非均匀间距的导函数?

    我想知道 numpy 或 scipy 的库中是否有一种方法可以查找具有非均匀间距的值列表的数值导数 这个想法是输入与值相对应的时间戳 然后使用时间戳来查找数值导数 您可以使用 numpy 创建自己的函数 对于使用前向差异的导数 感谢 EOL
  • 如何正确获取 CGColor 的颜色分量

    我有一个黑色的 UILabel 我正在编写以下代码来获取黑色的组件 UIColor aColor aLabel textColor retain const CGFloat components CGColorGetComponents a
  • cURL:操作在 0 毫秒后超时

    cURL 给我错误 Operation timed out after 0 milliseconds with 0 out of 0 bytes received 特别是 0毫秒 部分很可疑 我的初始化代码 curl curl init r
  • 如何将参数(action.payload)传递给 ngrx/effects 中的服务?

    我是 ngrx 6 的新手 效果将侦听操作 LOAD COURSE DETAILS 并应使用 course id action payload 调用服务 但我收到错误 Action 类型中缺少属性 toFixed 但是 如果我执行 cons
  • 安装 ASP.NET 和 Web Tools 2015 后,Visual Studio 出现错误“对象引用未设置为对象的实例”

    安装 ASP NET 和 Web Tools 2015 RC1 更新 1 后 打开 ASP NET MVC 4 项目时出现以下错误 cshtml files 未将对象引用设置为对象的实例 即使我启动一个新的 ASP NET MVC 4 项目
  • Eclipse 中的 Egit 导入显示运行时错误

    我尝试制作一个非常简单的 hello world 在 Eclipse 中使用 Egit 导入 我没有发现任何证据表明该软件无法正常工作 在谷歌中没有发现错误 所以看起来我犯了一些我不知道的错误 我按照此处定义的步骤进行操作 http cra
  • 如何对字典中的所有值求和?

    假设我有一个字典 其中的键映射到整数 例如 d key1 1 key2 14 key3 47 是否有一种语法上简约的方法来返回值的总和d i e 62在这种情况下 正如您所期望的 sum d values
  • 将 UUID 与 LINQ to Entities 中的字符串进行比较会引发错误 [重复]

    这个问题在这里已经有答案了 编辑 这不是重复的 建议的 SO 链接希望我打电话ToString 但我正在运行 COUNT 并试图做一个比比较更大的事情ToString 不是正确答案 我正在尝试使用以下方式填充变量IF快捷方式 但当我运行它时
  • SSRS:根据参数值有条件隐藏列 - CSV 导出忽略

    我在 SSRS 2008 中有一个简单的基于表格的报告 有 10 列 每列都有一个相应的参数来确定是否应显示该列 我通过设置在设计模式下右键单击列标题时获得的 列可见性 选项来实现此目的 就我而言 我选择 根据表达式显示或隐藏 将表达式设置
  • Pod Init 没有创建 xcworkspace?

    我启动了一台新 Mac 运行 sudo gem install cocoapods 完成 Pod 初始化 Pod 文件已创建 但没有 xcworkspace 文件 使用 Xcode 9 3 任何帮助表示赞赏 尝试过 卸载 cocoapods
  • 使用 R 中的工具提示绘制县级数据

    我在 www betydb org 上看到了美国县级的交互式分区统计图 我想使用 R 重现类似的地图 我只想要地图和工具提示 不是不同缩放级别的所有图块 或者切换地图的能力 该地图当前创建于ruby 弹出窗口 左下角 查询 MySQL 数据
  • 如何自动更新 MS-Access 2007 应用程序

    我有一个与 MySql 服务器通信的前端 Access 2007 应用程序 我想要一个功能 用户计算机上的应用程序可以检测到网络上有新版本 这并不困难 并将最新版本下载到本地驱动器并启动它 有谁有任何知识或经验如何做到这一点 Thanks
  • 如何在xampp中启用curl? [复制]

    这个问题在这里已经有答案了 如何在xampp中启用curl 我的 PHP twitter 应用程序需要curl 函数 但在 XAMPP 中并未启用它 如何启用它 我没有找到这样做的选择 您必须修改 xampp 文件夹中的 php ini 文
  • 如何在 Verilog 中将长语句分成行

    例如 我有一个很长的声明 display input data x output data x result x input data output data result 如何在 Verilog 中将其变成单语句和多行 您需要分解引用的字