“等待上升沿(clk)”与“如果上升沿(clk)”有什么区别?

2023-12-29

我在 VHDL 中遇到了两种风格的过程语句。

process(clk)
begin
    if rising_edge(clk)
...do something...

另一种是

process
begin
    wait until rising_edge(clk)
    ...do something...

每种方法的优点和缺点是什么?


假设...第二个示例的部分没有任何wait声明,这两种形式在语义上是等效的。

它们在模拟中的行为相同。

然而,第一种形式是推荐的综合风格,并且被许多人认为更具可读性。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

“等待上升沿(clk)”与“如果上升沿(clk)”有什么区别? 的相关文章

  • 无法使用 VHDL 2008 Quartus Prime 进行编译

    我正在使用 Quartus Prime Lite Edition 并且我想使用一元运算符nand像这样的 std logic vector library ieee use ieee std logic 1164 all use ieee
  • 使用通用参数作为端口数组长度

    我想做的事 entity FIRfilter is generic NTAPS integer port h in array 0 to NTAPS 1 of std logic vector 15 downto 0 end FIRfitl
  • 二进制补码 VHDL

    我只是想用 VHDL 制作一个简单的二进制补码设备 但它抛出了这个非常烦人的错误 我不确定我做错了什么 可能是一些非常愚蠢的事情 错误是 错误 10327 twocompliment vhd 21 处的 VHDL 错误 无法确定运算符 na
  • VHDL:IEEE std_logic 库中的真值表

    我研究了 IEEE 如何定义其库 当我打开的时候标准逻辑库 我看到了一些被定义为常数的真值表 我不知道真值表是如何运作的 请解释如何使用真值表返回结果 这是我找到的 AND 门 TYPE stdlogic table IS ARRAY st
  • 使用“downto”进行 VHDL std_logic_vector 索引

    我想单独设置 std logic vector 的位 以便轻松设置单个位或位组的注释 这是我所拥有的 signal DataOut std logic vector 7 downto 0 DataOut lt 5 gt 1 Instruct
  • “等待上升沿(clk)”与“如果上升沿(clk)”有什么区别?

    我在 VHDL 中遇到了两种风格的过程语句 process clk begin if rising edge clk do something 另一种是 process begin wait until rising edge clk do
  • 在非时钟信号上使用上升沿是不好的做法吗?还有其他选择吗?

    我正在研究 VHDL 设计并且它可以工作 但是代码非常丑陋 而且我似乎正在尝试围绕语言的设计来实现我的目标 这一事实让我觉得有些事情是错误的 我对 VHDL 还很陌生 但我已经研究该项目的较小部分近一个月了 所以我有了总体想法 然而 这部分
  • 有没有办法使用 std textio 在 vhdl 中多次读取文件?

    我试图了解如何在 vhdl 中读取文件 如果我打开一个文件 通读它 测试文件结尾 关闭文件 然后重新打开该文件 然后再次开始读取 它会从开头开始吗 文件 非常感谢 向 VHDL 语言的权威寻求答案 IEEE 标准 1076 2008 5 5
  • Quartus初始化RAM

    我制作了一个实体 其中 quartus 成功识别 RAM 并为其实例化 RAM 宏功能 如果我可以从文件初始化 RAM 那就太好了 我找到了制作此类文件 mif 文件 的教程 现在我已经创建了该文件 我不知道如何让 quartus 初始化该
  • 如何在 VHDL 中将整数作为十六进制写入标准输出?

    我可以打印一个integer作为十进制到标准输出 library std use std textio all entity min is end min architecture behav of min is begin process
  • VHDL - 分配默认值

    我有以下架构 architecture datapath of DE2 TOP is begin U1 entity work lab1 port map error on this line clock gt clock 50 key g
  • VHDL - iSIM 输出未初始化,不改变状态

    您好 我是一位 Xilinx 新用户 在如何在测试台中编写激励 模拟方面遇到了麻烦 我的输出 Kd 没有给我任何合理的值 并在移动并始终保持在 1 之前的前几个时钟周期给出 u 不确定我是否写了正确的刺激 但希望有人能帮助我 我的VHDL代
  • VHDL 中数组的硬件表示

    使用 VHDL 我想要一些寄存器 每个寄存器存储 16 位 所以我发现VHDL有一个内置数组 我想用它来存储iy中每个元素的16位 所以我想知道VHDL是否将此数组映射到实际寄存器 简短的回答是否定的 数组类型不映射到寄存器 长答案 VHD
  • 将 n 位的 std_logic_vector 向右或向左移位

    我有一个向量signal tmp std logic vector 15 downto 0 我必须将它向左或向右移动 n 位 我怎样才能实现这个操作 我想到了串联操作 但我不知道如何使用它 Use the ieee numeric std库
  • VHDL - 为什么直接在函数上使用长度属性会产生警告?

    我有一个 VHDL 函数 它返回记录的 std logic vector 表示形式 并且我想要该 std logic vector 的长度 我可以直接在函数上使用长度属性 为什么这会在 ModelSim 中产生警告 我是否会引发一些微妙的问
  • 如何从 Spartan 6 写入 Nexys 3 FPGA 板上的 Micron 外部蜂窝 RAM?

    我到处都查过了 数据表 Xilinx 网站 digilent 等等 但什么也没找到 我能够使用 Adept 工具来验证我的蜂窝 RAM 是否正常运行 但我找不到任何库存 VHDL 代码作为控制器来写入数据和从中读取数据 帮助 找到了此链接
  • 32x8 寄存器文件 VHDL 测试台

    我已经用 vhdl 编写了该电路的汇编代码 我想用测试台来模拟它 RegWrite 1 位输入 时钟 写寄存器个数 3位输入 写地址 写入数据 32 位输入 数据输入 读取 寄存器编号 A 3 位输入 读取地址 读取寄存器编号 B 3 位输
  • 设计用于初始化的VHDL状态机

    如何最明智地设计用于初始化芯片的 VHDL 状态机 我当前的设计是 伪代码 案例状态 当 s0 gt VHDL CODE FOR WRITING VALUE TO REGISTER状态 s1 当 s1 gt VHDL CODE FOR WR
  • VHDL 中的 if 语句

    我有一个关于 VHDL 中 if 语句的问题 请参见下面的示例 signal SEQ bit vector 5 downto 0 signal output bit if SEQ 000001 and CNT RESULT 111111 t
  • 无符号和 std_logic_vector 之间的区别

    谁能告诉我以下书面陈述之间的区别 signal A unsigned 3 downto 0 signal B std logic vector 3 downto 0 Both std logic vector and unsigned ar

随机推荐

  • 迁移到 AndroidX 后,启动时出现异常:java.lang.ClassNotFoundException:“未找到类 androidx.core.app.CoreComponentFactory”

    迁移到 AndroidX 后 我在启动时出现以下异常 LoadedApk Unable to instantiate appComponentFactory java lang ClassNotFoundException Didn t f
  • 如何修复 Flutter 中水平列表视图中的裁剪阴影

    当我在 ListView 内创建一个带有框阴影的容器 水平滚动 时 阴影看起来很好 但是 当我在 ListView 内添加多个容器时 它们的阴影 只是阴影 而不是容器 在顶部和底部被裁剪 另请注意 整个 ListView 包装在父容器下 我
  • 如何使用 Next.js 13 的 objectFit?

    In the 下一个 图像文档 https nextjs org docs api reference next image在版本历史记录下 v13 0 0 的内容如下 删除了布局 objectFit objectPosition lazy
  • 允许匿名用户仅访问登录和注册页面

    我试图只让两个页面 login aspx 和 register aspx 对匿名用户可见 我已经能够让匿名用户仅访问 login aspx 而不访问更多 但我无法进行注册 aspx 也可用 有什么提示吗 到目前为止 这是我的 web con
  • 准确判断PHP运行的操作系统类型

    我需要确定 PHP 服务器运行的操作系统类型 就类型而言 我指的是 windows 或 linux 等字符串 而不是 wince winnt 等 到目前为止 我必须引导 PHP OS 和 uname 后者比前者更可靠 PHP OS 说明 P
  • CodeIgniter Composer“package.json”位置应该位于应用程序或根文件夹中?

    我使用 code igniter v3 x 我想添加一些作曲家包 看着application config config php文件 它说将在应用程序文件夹中加载包 package auto loader script in applica
  • 解析。保持 LocalDataStore 数据同步

    我首先在线查询数据 没有 query fromLocalDatastore 并 固定 它以供本地使用 为了节省带宽 我使用 UpdatedAt 来仅获取新内容 之后 我使用 query fromLocalDatastore 进行查询 获取数
  • XSD 中的 xs:choice 是否允许在结果 XML 中包含多个元素?

    我对 XSD 的 选择 元素描述感到困惑 它指出 在该标记内找到的元素中 只能在有效的 XML 中找到一个元素 允许且仅允许所选元素中包含一个元素 基团存在于包含元素内 http msdn microsoft com en us libra
  • 如何在 IOS Audio Unit Framework 中设置 pan

    堆栈溢出的用户您好 我想在我的 IOS 应用程序中使用 UISlided 更改平移位置 我正在升级当前正在使用的整个应用程序音频流媒体 of 马特 加拉格尔 要更改 AudioStreamer 中的平移值 请使用以下代码 AudioQueu
  • 如何在工作线程(非 UI 线程)中创建模态对话框?

    我编写了一个示例 MFC 应用程序 其中有两个线程 主线程 UI线程 工作线程 非UI线程 我有一个特定的要求来创建一个Modal非 UI 工作线程 中的对话框 当我创建CDialog对象和调用DoModal同样 它有效 该对话框被创建并充
  • 联合类型允许对所使用类型的属性进行错误分配

    我在理解 TS 中的联合时遇到问题 为什么下面的分配是有效的 我以为它只适用于const a a 12 or a 123 b 23 or a 12 b 12 c 123 type abcd a number a number b numbe
  • 如何取消吐司

    我开发了一个 Android 应用程序 但我遇到了一个问题Toast 假设我正在显示一个Toast 它显示在应用程序窗口上 当出现对话框时 toast 不会立即消失 我想知道如何取消吐司 Toast makeText返回一个Toast目的
  • Google 云端硬盘文件夹 ID

    我有一个文件夹路径 例如 docs word 我想获取 word 文件夹 最后一个文件夹 的ID 以便在那里上传文件 我如何获取身份证 所以我想通了 你要做的就是获取 root 的 iddrive service about get exe
  • 使用 JSFiddle 时从 javascript 控制台调用 jQuery

    在我的调试和创建过程中 通常会从 javascript 控制台 firefox 或 chrome 内置的 firebug 触发一些 jQuery 命令 仅用于检查和测试目的 我注意到我无法使用 jQuery 调用与 JSFiddle 上页面
  • SSRS 按参数分组

    如果我有一个报告参数 Fruit 允许多项选择 如何使用该参数作为 SSRS 矩阵中的列组 通常 我会在查询中使用如下参数 WHERE tbl fruit In Fruit 然后我将使用数据集中的列作为我的组 但是 在这种情况下 我需要返回
  • Visual Studio Team Explorer 列出了我未进行的文件更改

    例如 我刚刚启动 Visual Studio 来查找我从未打开过的文件的 28 个更改 当我尝试撤消更改 右键单击并选择撤消 时 我会看到一个确认对话框 我单击 是 然后什么也没有发生 我的一位同事说 这种情况有时会发生在他身上 除了重新开
  • Silverlight 5 VS 2012 单元测试

    在过去的几个小时里 我一直在尝试为 Silverlight 应用程序生成单元测试 许多帖子都提到了 Silverlight 单元测试项目 它是 Silverlight 工具包的一部分 但是我下载了工具包还是没有Test Project 好像
  • True Type 字体格式:笔位置、提前宽度和字距调整

    我正在做一些字体工作 目前使用 TTF True Type Font 文件格式 我知道该字形有一个笔尖位置和一个advance width参数指定在绘制下一个字形之前我们应该向右移动的距离 假设这里的字体是从左到右并且是水平的 我的问题 在
  • 在 iis 中托管 asp.net 网站的分步过程?

    有人可以给我在 iis 中托管 asp net 网站的分步程序吗 确保框架已安装 将您的站点放在系统上的某个位置 并创建一个指向它的虚拟目录 确保它是一个应用程序 检查 ASP net 选项卡以确保选择您正在使用的版本 完成 禁止您需要的任
  • “等待上升沿(clk)”与“如果上升沿(clk)”有什么区别?

    我在 VHDL 中遇到了两种风格的过程语句 process clk begin if rising edge clk do something 另一种是 process begin wait until rising edge clk do