关于Lattic Diamond软件安装不成功问题(license问题)

2023-10-28

今天搞了一上午的软件,都快放弃了,最后发现竟然是环境变量的问题,顿时感觉自己太费了,这么简单都没发现。
正常按照教程安装完显示的结果应该是这样的:
在这里插入图片描述
这时候报错是因为还没有安装license,这个教程里也有,一步步来就行。但是要吐槽的一点就是这个license的什么申请用的是网卡的物理地址,我也查了一下网上的资料,每次重启一般这个地址是重置的,所以软件就又不能用了。(PS:我具体也没试过,也不知道),所以我就直接用的虚拟网卡地址。虚拟网卡文末会附上教程。
License已经安完了,按道理说应该是已经可以用了,但是此时又曝出了这个错误。
在这里插入图片描述

	***这个问题主要是由于环境变量中存在中文字符,将环境变量中对应的中文字符删掉就可以了。就是将license.dat的全部上级目录的中文删掉/改成英文。然后再环境变量中将改后的目录加进去就可以了。注意先把之前的删掉。****

	虚拟网卡的申请(默认没有虚拟网卡):
	1.我的计算机 --> 属性 -->设备管理器
	2.往下拉
	3.点击网络适配器 --> 点击最上方的操作 --> 添加过时硬件 --> 从我的列表中选择 --> 选择网络适配器
	4.选择Microsoft --> 环回适配器(win10,如果是其他的应该有一个lookback之类的)
	然后就差不多了......

注意弄完后要将申请的license.dat中的HOSTID号复制到网络适配器中的网络号里面。

在这里插入图片描述

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

关于Lattic Diamond软件安装不成功问题(license问题) 的相关文章

  • 【原创】always语句 和 initial语句

    过程语句 有 always语句 和 initial语句 相同点 1 always语句 和 initial语句 可以多次使用 2 always语句 和 initial语句 各语句块 整体 是独立运行 3 always语句 和 initial语
  • FPGA同步复位和异步复位的区别以及设计处理

    FPGA复位信号的设计处理 同步复位 同步复位 同步复位信号跟触发器的时钟是同步的 只有在时钟的跳变沿到来之后才会生效 对应verilog代码如下 这种写法会被编译器综合成同步复位 always posedge clk begin if r
  • Xilinx平台SRIO介绍(二)SRIO IP核基础知识

    使用SRIO IP核必须掌握的基础知识 理解了这篇 剩下的只是代码罢了 汇总篇 Xilinx平台SRIO介绍 汇总篇 目录 前言 SRIO RapidIO GT 有什么关系
  • SD卡读写实验(SPI模式)

    对于 SD 卡的 SPI 模式而言 采用的 SPI 的通信模式为模式 3 即 CPOL 1 CPHA 1 在 SD 卡 2 0 版 本协议中 SPI CLK 时钟频率可达 50Mhz SD 卡的 SPI 模式 只用到了 SDIO D3 SP
  • verilog中wire和reg类型的区别

    module counter parameter CNT MAX 25 d24 999 999 input wire sys clk input wire sys rst n output reg led out reg 24 0 cnt
  • 最详细的Vivado安装教程

    V i v a d o 安 装
  • 【Xilinx DDR3 MIG】Xilinx FPGA DDR3读写实验相关用户接口引脚解释

    目录 DDR3读写实验 实验框图 时钟模块 DDR3读写及LED指示模块 MIG IP核 用户接口解释
  • 【Xilinx】SynchronousInterruptHandler错误排查笔记

    SynchronousInterruptHandler错误排查笔记 一 ArmV8的异常处理 二 64位lscript ld的修改 三 asm vectors S的修改 四 SynchronousInterruptHandler函数解析 五
  • 从零开始zynq linux AXI DMA传输

    本文从0开始叙述过程 使用的工具为vivado2016 4 sdk也是2016 4 准备工作 首先下载如下的目标文件 1 下载xilinx官方的bootloader文件 git clone https github com Xilinx u
  • FPGA学习笔记(一)__电平知识

    常见电平标准 文章目录 1 TTL电平标准 2 LVTTL电平标准 1 LVTTL3V3 2 LVTTL2V5 3 CMOS电平标准 4 LVCOMS电平标准 1 LVCOMS3V3 2 LVCOMS2V5 3 LVCOMS1V8 4 LV
  • 基于FPGA的AHT10传感器温湿度读取

    文章目录 一 系统框架 二 i2c接口 三 i2c控制模块 状态机设计 状态转移图 START INIT CHECK INIT IDLE TRIGGER WAIT READ 代码 四 数据处理模块 串口 代码 五 仿真 testbench设
  • FPGA实现VGA显示图片

    利用FPGA在带有VGA接口的液晶显示器上显示图片 电路原理图 端口说明 VGA R2 VGAB0的8个端口位VGA的RGB数据位 VGA HS为行同步信号 VGA VS为场同步信号 以分辨率为640x480为例 刷新速率为60Hz 每幅图
  • FPGA_MIG驱动DDR3

    FPGA MIG驱动DDR3 说明 FPGA zynq 7z100 DDR3 MT41K256M16TW 107 内存大小为512MB 数据接口为16bit 环境 Vivado2018 2 IP核 Memory Interface Gene
  • VHDL——连接开关和LED

    我有 Xilinx Spartan6 和下一个 VHDL 代码 library ieee use ieee std logic 1164 all use ieee numeric std all entity Switches Leds i
  • 基于FPGA的简易BPSK和QPSK

    1 框图 2 顶层 3 m generator M序列的生成 输出速率为500Kbps 4 S2P是串并转换模块 将1bit的m序列转换到50M时钟下的2bit M序列数据 就有4个象限 5 my pll是生成256M的时钟作为载波 因为s
  • 异步FIFO设计之格雷码

    目录 二进制转格雷码 格雷码转二进制 相邻的格雷码只有1bit的差异 因此格雷码常常用于异步fifo设计中 保证afifo的读地址 或写地址 被写时钟 或读时钟 采样时最多只有1bit发生跳变 在不考虑路径延时的情况下 因为源数据 读写地址
  • VHDL门控时钟如何避免

    我收到了避免使用门控时钟的建议 因为它可能会导致松弛和时序限制问题 但我想问一下我可以认为什么是门控时钟 例如 此代码对时钟进行门控 因为 StopCount 对它进行门控 process ModuleCLK begin if rising
  • 如何在Altera Quartus中生成.rbf文件?

    什么是 rbf 文件以及如何在 Windows 上从 Quartus 输出文件 sof 生成它们 An RBF is a 原始二进制文件例如 它代表原始数据 这些数据将被加载到闪存中 以便在上电时初始化 FPGA A SOF is an S
  • 赋值语句中的“others=>'0'”是什么意思?

    cmd register process rst n clk begin if rst n 0 then cmd r lt others gt 0 elsif clk event and clk 1 then cmd r lt end if
  • 映射 MMIO 区域写回不起作用

    我希望对 PCIe 设备的所有读写请求都由 CPU 缓存进行缓存 然而 它并没有像我预期的那样工作 这些是我对回写 MMIO 区域的假设 对 PCIe 设备的写入仅在缓存回写时发生 TLP 有效负载的大小是缓存块大小 64B 然而 捕获的

随机推荐

  • (附源码)基于SSM学生作业管理系统-计算机毕设 20912

    SSM学生作业管理系统 摘 要 随着科学技术的飞速发展 各行各业都在努力与现代先进技术接轨 通过科技手段提高自身的优势 对于学生作业管理系统当然也不能排除在外 随着网络技术的不断成熟 带动了学生作业管理系统 它彻底改变了过去传统的管理方式
  • Android开机启动shell脚本(Android 8.0测试OK)

    Android 下做开机启动shell脚本的大致流程如下 目录 写shell脚本 为脚本写te文件 在init rc中启动脚本 添加Selinux权限 写shell脚本 比如新建一个init test sh 内容如下 system bin
  • C语言/C++基础之奔跑的小人

    C语言 C 基础之奔跑的小人 程序之美 前言 主体 运行效果 代码示例一 运行结果 代码示例二 结束语 程序之美 前言 C语言实现的会动的小人 非常有意思 代码也比较简单 有兴趣的小伙伴 可以抽时间学习或者了解下 其实就是一些字符的拼接 最
  • 7-14 然后是几点 (15分)

    7 14 然后是几点 15分 题目描述如下 有时候人们用四位数字表示一个时间 比如 1106 表示 11 点零 6 分 现在 你的程序要根据起始时间和流逝的时间计算出终止时间 读入两个数字 第一个数字以这样的四位数字表示当前时间 第二个数字
  • shinyapps安装

    相信很多刚接触shiny的小白都和我一样 会遇到shinyapps配置失败的问题 因为网站上能找到的教程提供的方法已经out 现在更新之后和以前有所不同 这是以前的配置方法 准备工作 1 拥有R或像RStudio的集成开发环境 2 R包构建
  • How to print out more than 20 items (documents) in MongoDB's shell?

    How to print out more than 20 items documents in MongoDB s shell db foo find limit 300 won t do it It still prints out o
  • STlink下载和打断点Debug调试小结

    一 下载 1 检查设备是否选择正确 2 检查SWDIO有没有识别到 如果没有 检查硬件连线是否正确 3 检查Utilities选项 4 点击settings 添加FLASH 二 Debug调试 前 言 当之前在用STlink进行调试的时候
  • 关于spring的aop的xml和注解操作

    AOP 相关概念 1 横切关注点 一些具有横切多个不同软件模块的行为 通过传统的软件开发方法不能够有效地实现模块化的一类特殊关注点 横切关注点可以对某些方法进行拦截 拦截后对原方法进行增强处理 2 切面 Aspect 切面就是对横切关注点的
  • 耗时半月,终于把牛客网上的软件测试面试八股文整理成PDF合集!

    大家好 最近收到不少小伙伴的留言 反映现在的面试难度越来越高 要背的八股文越来越多了 考察的知识点也越来越细致 明摆着就是想让我们 徒手造航母 嘛 对程序员们来说确实是一大挑战 因此 我特地整理了今年上半年大厂软件测试面试题的合集 希望能够
  • 租约锁机制

    背景和介绍 缓存是计算机里广泛使用的一种技术 对降低读取延迟 网络流量和服务器负载都非常有效 但也带来了一致性 Consistency 的问题 所谓一致就是客户端总能读到最新的数据 使用缓存后有可能服务器端的数据已经被修改 但客户端仍然从缓
  • 软考笔记——第九章--软件工程基础知识

    第九章 软件工程基础知识 软件工程概述 软件过程模型 软件开发方法 软件工具与软件开发环境 软件项目管理 软件风险管理 软件度量 1 软件工程概述 软件工程基本原理 用分阶段的生命周期计划严格管理 坚持进行阶段评审 实现严格的产品控制 采用
  • call、apply、bind 方法详解

    一 call apply bind 的共同点 改变函数的 this 指向 第一个参数都是 this 要指向的对象 二 call apply bind 的区别 call apply 都是立即执行 bind 不会立即执行 因为 bind 的返回
  • vue实现甘特图

    1 引入依赖 npm install dhtmlx gantt 6 3 7 2 组件代码
  • python: 运行import tensorflow as tf 出错讯息的解决,CUDA版本查看方法

    Tensorflow 由 Google Brain 开发和维护 广泛应用于各类机器学习machine learning 最近想试用一下 在pycharm上用Python 结果一开始就出问题了 第一句 import tensorflow as
  • 剑指 Offer 52. 两个链表的第一个公共节点(java+python)

    输入两个链表 找出它们的第一个公共节点 如下面的两个链表 在节点 c1 开始相交 示例 1 输入 intersectVal 8 listA 4 1 8 4 5 listB 5 0 1 8 4 5 skipA 2 skipB 3 输出 Ref
  • 时间复杂度分析

    目录 前言 最好 最坏情况复杂度 平均情况时间复杂度 均摊事件复杂度 总结 前言 本文作文学习极客时间课程 数据机构与算法之美 课程的一些笔记与感悟 有兴趣的朋友希望大家关注课程 非常好的课程 不要惧怕数据结构与算法 当你学完整篇专栏 会对
  • 01背包--数组能否分成两个和相同的数组

    1 2 6 3 可以分成 1 2 3 和 6 思路 此题可以用0 1背包问题来解决 分成的两个数组之和 一定为整个数组之和的一半 所以将背包容量设为初始数组之和的一半即可 最后在判断背包所装的容量是不是整个数组之和的一半 关于01背包问题的
  • python 中字典对象按照 value 排序

    dit 1 a 1 b 2 c 2 d 直接使用sorted方法 只能根据key进行排序 sorted dit Out 6 2 1 1 2 如果需要根据value排序 可以 sorted dit items key lambda x x 1
  • 【计算机网络】3、IO 多路复用:select、poll、epoll、reactor

    文章目录 一 select 1 1 用法 1 1 实战 二 poll 2 1 用法 2 2 实战 三 阻塞 非阻塞 3 1 非阻塞 IO 3 1 1 read 3 1 2 write 3 1 3 accept 3 1 4 connect 3
  • 关于Lattic Diamond软件安装不成功问题(license问题)

    今天搞了一上午的软件 都快放弃了 最后发现竟然是环境变量的问题 顿时感觉自己太费了 这么简单都没发现 正常按照教程安装完显示的结果应该是这样的 这时候报错是因为还没有安装license 这个教程里也有 一步步来就行 但是要吐槽的一点就是这个