ISE14.7使用教程(一个完整工程的建立)

2023-10-29

ISE14.7使用教程(一个完整工程的建立)

博主提到 黑金xlinix FPGA

黑金动力社区(http://www.heijin.org)如需转载,请注明出处http://www.cnblogs.com/kingst/  黑金官网:
Http://www.alinx.cn

FPGA公司主要是两个Xilinx和Altera(现intel PSG),我们目前用的ISE是Xilinx的开发套件,现在ISE更新到14.7已经不更新了,换成了另一款开发套件Vivado,也是Xilinx的产品,intel的开发套件是Quartus II系列

我们实验室这两款公司的开发板都有,不过对于入门来说,选择ISE有两个原因一是它比Vivado快多了二是它和Quartus II相比不用自己写测试文件(激励)

实验室的板子这两个公司都有,代码都是可以移植的,学习的话都要学的,软件不是问题,重点是FPGA的设计思想。本篇呢就用一个实例,基于FPGA 的流水灯来介绍一下ISE的使用完整流程。
这里写图片描述
打开软件,点击file——new project。
这里写图片描述
建立一个新的工程,工程名为led_water,next~。
这里写图片描述
这里设置板卡信息,我使用的板子是basys2,语言为verilog HDL,next~。
这里写图片描述
这里是总结界面,点击finish。
这里写图片描述
右键点击new source。
这里写图片描述
选择verilog module。文件名输入为led
这里写图片描述
Next~
这里写图片描述
Finish~
这里写图片描述
这是流水灯的代码,
这里写图片描述
代码编写完成后,点击view RTL Schematic即可进行编译,可查看原理图。

这里写图片描述
原理图生成了,便没有语法错误,接下来尽心时序仿真,检查逻辑错误。

这里写图片描述
重新新建一个文件,verilog test fixture,文件名为tb_led。
这里写图片描述
Next~
这里写图片描述
Finish。
这里写图片描述
点击simulation,这是仿真界面,双击测试文件,查看代码。
这里写图片描述
在测试文件里添加这两行代码,产生时钟,复位信号置1,电路正常工作。
这里写图片描述
为了查看仿真波形迅速,这里将代码里的计数器参数改小点。
这里写图片描述

点击simulate behavioral model,运行仿真。
这里写图片描述

1 开始 2 暂停 3 查看波形
这里写图片描述
将波形放大查看,可以看到仿真完全正确
这里写图片描述

仿真正确后,就可以进行引脚约束从而进行板级仿真了。点击I/O pin planning(planahead)-post-synthesis打开引脚约束软件。
这里写图片描述
弹出的窗口点击yes。
这里写图片描述
这个界面Close~
这里写图片描述
这里就可根据板子上的引脚或手册来约束引脚。完成后点击close。
这里写图片描述

引脚约束完成后就可以综合,点击configure target device进行综合。

这里写图片描述
双击boundary scan
这里写图片描述
在空白处右键,然后点击initialize chain。
这里写图片描述
选择生成的bit文件,双击打开。
这里写图片描述
这个窗口是提示是否下载到flash中,选择no
这里写图片描述
Cancel~
这里写图片描述
OK~
这里写图片描述
然后个界面点击program,下载bit流文件到板子上
这里写图片描述
这样就下载成功了。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

ISE14.7使用教程(一个完整工程的建立) 的相关文章

  • Quartus II 安装

    本次介绍使用的 Quartus 版本为 10 1 目前 Quartus II 官网已经没有 13 1 以下版本的安装包 大家可以安装 13 1 以上版本的软件 功能都是大同小异 下载地址 FPGA Software Download Cen
  • 【数字电路基础】三态门

    目录 前言 三态门 经典问题 前言 文主要讲解三态门 三态门 其模型为 其实际电路为 其真值表为 B A C 0 0 Z 0 1 Z 1 0 0 1 1 1 注意 Z是高阻 不代表没有电压 而是电压不确定 受自身 旁边cell的影响 经典问
  • 基于FPGA的频率计设计

    文章目录 写在前面 1 什么是频率计 2 测量方法与基本原理 3 待测信号如何输入FPGA 一 实验程序 1 RTL图 2 Verilog 参考设计 3 指派引脚 二 调试验证 输入不同频率的方波 写在前面 1 什么是频率计 频率计是一种专
  • Xilinx ISE系列教程(9):LabTools下载、安装、使用教程(独立的下载工具)

    文章目录 1 ISE Vivado LabTools简介 2 ISE 14 7 Lab Tools下载 安装 3 Vivado 2018 3 LabTools下载 安装 1 ISE Vivado LabTools简介 Xilinx LabT
  • Xilinx平台SRIO介绍(二)SRIO IP核基础知识

    使用SRIO IP核必须掌握的基础知识 理解了这篇 剩下的只是代码罢了 汇总篇 Xilinx平台SRIO介绍 汇总篇 目录 前言 SRIO RapidIO GT 有什么关系
  • SD卡读写实验(SPI模式)

    对于 SD 卡的 SPI 模式而言 采用的 SPI 的通信模式为模式 3 即 CPOL 1 CPHA 1 在 SD 卡 2 0 版 本协议中 SPI CLK 时钟频率可达 50Mhz SD 卡的 SPI 模式 只用到了 SDIO D3 SP
  • 硬件设计---了解电源篇

    1 概述 在高速电路设计中一块单板上常存在多种电源 3 3V 1 8V 1 2V 1 0V 0 9V 0 75V等 有时光是对FPGA供电就需要五六种电源 为了便于使用往往用户只需要提供一种或几种电源 然后经过板上电源模块转换到各个目标电源
  • Verilog之assign

    Verilog中的关键词assign主要用于如下两个地方 数据流建模 用于数据流建模的显示连续赋值语句语法格式如下
  • Lattice Diamond安装

    1 下载 到Lattice官网 http www latticesemi com 注册一个lattice的账号后就可以去下载Diamond 登陆后如下图 根据自己系统情况选择对应的版本 我用的是32位win8 Diamond软件安装包和La
  • libero-soc许可证申请和环境配置

    环境 64位机 在哪台电脑上安装libero soc 就用哪台电脑申请许可证 1 注册 https www microsemi co 在官网注册 之后申请的许可证会发到注册时填写的邮箱 2 申请许可证 https www microsemi
  • 【PIPE】流水线设计中的基本模块

    大概分成以下几节 1 概述及协议 2 valid forward valid超前 3 bubble collapse 消除气爆 4 input output skid 不知中文怎么说 5 pipe halt 流水停顿 6 idle pres
  • FPGA Lattice Diamond 开发环境搭建

    FPGA Lattice Diamond 开发环境搭建 Lattice Diamond 软件下载 在浏览器中输入 Lattice 的官网地址 http www latticesemi com 进入官网首页在上方选择产品系列选项 出现如下图所
  • [从零开始学习FPGA编程-38]:进阶篇 -语法-函数与任务

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 目录 前言 第1章 什么是函数Function 1 1 什么是函数 1 2 函
  • 同时读取和写入寄存器

    我计划在 FPGA 上用 VHDL 设计一个类似 MIPS 的 CPU CPU 将具有经典的五级管道 没有转发和危险预防 在计算机体系结构课程中 我了解到第一个 MIPS CPU 用于在时钟上升沿读取寄存器文件并在时钟下降沿写入 我使用的F
  • VHDL - PhysDesignRules:367

    当我尝试从 VHDL 代码合成 实现和生成程序文件时 我收到警告 当我尝试合成时出现此错误 WARNING Xst 647 Input
  • UIO 设备上的 mmap EINVAL 错误

    在尝试使用 UIO 而不是直接映射后 我在 Xilinx Zynq 上映射物理内存时遇到问题 dev mem 虽然计划是以普通用户身份运行应用程序 而不是root这仍在运行root 显然 第一个映射成功 其余映射到同一个文件描述符12 de
  • 模拟器和合成器之间初始化状态机的差异

    我的问题是关于合成状态机中使用的第一个状态 我正在使用莱迪思 iCE40 FPGA 用于仿真的 EDA Playground 和用于综合的莱迪思 Diamond Programmer 在下面的示例中 我生成一系列信号 该示例仅显示引用状态机
  • if 语句导致 Verilog 中的锁存推断?

    我正在编写用于合成算法的 Verilog 代码 我对哪些情况可能导致推断锁存器有点困惑 下面是这样的一段代码 虽然它在模拟中工作得很好 但我担心它可能会导致硬件问题 always b1 or b2 b1 map b2 map m1 map
  • 从 OpenCV 代码到 FPGA 代码的转换是否比 Matlab 代码更容易? [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我想做一个关于图像处理的项目 我想知道如果我想在FPGA上实现这个项目 我应该在第一阶段选择Matla
  • 可以购买哪些 FPGA(现场可编程门阵列)在家中进行实验? [关闭]

    Closed 这个问题不符合堆栈溢出指南 help closed questions 目前不接受答案 什么是 FPGA 在哪里可以买到 它们要花多少钱 您需要什么样的系统来试验它们 如何对它们进行编程 如果这是正确的术语 您能否使用普通 M

随机推荐

  • ubuntu 强制结束 pycharm

    有时在ubuntu下使用pycharm突然卡了 因此需要强制关闭pycharm 步骤如下 step1 打印查看所有当前正在运行的进程 ps ef 可以看到如下列表 表中绿框部分是每个进程的编号 红色方框是找到的关于pycharm的进程 主要
  • 【100%通过率 】【华为OD机试真题c++】任务调度【 2022 Q4 A卷

    华为OD机试 题目列表 2023Q1 点这里 2023华为OD机试 刷题指南 点这里 题目描述 现有一个CPU和一些任务需要处理 已提前获知每个任务的任务ID 优先级 所需执行时间和到达时间 CPU同时只能运行一个任务 请编写一个任务调度程
  • python: read excel and export excel

    PythonAppReadExcel py edit geovindu Geovin Du 涂聚文 date 2023 06 13 保险 This is a sample Python script python exe m pip ins
  • 关于华为手机使用MTK刷机时出现failed to get PMT info的解决办法

    华为手机USB驱动 https pan baidu com s 1dDBZLSH 华为手机系统修复工具 https pan baidu com s 1dDBZLSH 最近在折腾手中的一部华为荣耀3C手机 前段时间在手机维修店给维修时 给我升
  • HTML 表格跨行跨列

    HTML和CSS第一天 8 8跨行跨列表格 次重点 必须掌握 table table
  • PAT01-Switch..case用法

    package PAT01 import java util Scanner public class Main public static void main String args Scanner in new Scanner Syst
  • es bulk java_23个最有用的ES检索技巧(Java API实现)

    前言 本文是对 23个最有用的Elasticseaerch检索技巧 一文提到的ES检索技巧进行 Java API 的简单实现 但仅限于简单实现 并不考虑包括参数校验 异常处理 日志处理 安全等问题 仅供参考 运行环境 JDK version
  • oneAPI技术在奥斯卡颁奖礼上拿过奖!背后的神秘工具竟是它

    各位小伙伴们 作为各位开发人员亲密的朋友 今天要小小 炫耀 一下 那就是oneAPI 曾经在奥斯卡颁奖礼上拿过奖 oneAPI作为英特尔的革命性计划 以提供统一的跨架构软件编程模型被大众熟知 在电影行业的奥斯卡颁奖礼上获奖 这是怎么回事呢
  • QT信号槽传递参数技巧

    信号槽如何传递参数 或带参数的信号槽 利用Qt进行程序开发时 有时需要信号槽来完成参数传递 带参数的信号槽在使用时 有几点需要注意的地方 下面结合实例进行介绍 第一点 当信号与槽函数的参数数量相同时 它们参数类型要完全一致 信号 cpp v
  • 力扣-图解算法数据结构

    常见的数据结构可分为 线性数据结构 与 非线性数据结构 具体为 数组 链表 栈 队列 树 图 散列表 堆 数组 数组是将相同类型的元素存储于连续内存空间的数据结构 其长度不可变 如下图所示 构建此数组需要在初始化时给定长度 并对数组每个索引
  • unity3d math 常用的数学

    1 计算游戏中敌人被击退的方向 类似 Vector3 lhs Vector3 this parentChara MoveDirection this parentChara MoveSpeed Vector3 rhs component p
  • 二十四史全译本

    现在正在读战争与和平 觉得这里面写了好多的历史的东西 所以想到以前读过的历史书籍 于是想找本历史书籍来看看 后来发现了二十四史全译本 就是对古文的历史解释为白话文 这是官方承认的正史了 应该值得一睹 所以下定决心 看一看这些历史书籍 201
  • 精品课程:Node+TS+Koa+Vue 商城全栈(前后端)开发

    课程目录 Node TS Koa商城全栈开发远程课介绍视频 Symbol与作用域 解构赋值与扩展运算符 字符串 数字与对象扩展 迭代 函数扩展 箭头函数 集合 Set对象 let和const 变量的解构赋值 数据结构Set 数据结构Map
  • Python 爬虫批量爬取网页图片保存到本地

    其实和爬取普通数据本质一样 不过我们直接爬取数据会直接返回 爬取图片需要处理成二进制数据保存成图片格式 jpg png等 的数据文本 现在贴一个url https img ivsky com img tupian t 201008 05 b
  • Python version 2.7 required, which was not found in the registry

    转自 http www cnblogs com min0208 archive 2012 05 24 2515584 html 安装setuptools的时候 不能再注册表中识别出来python2 7 在网上找了方法 仅作笔记 供下次使用
  • openwrt源下载太慢,make太慢等问题的处理

    目录 前言 一 在github获取源码 二 使用gitee获取源码 1 注册gitee 2 注册github 3 将openwrt官方github的源码fock到自己的github中 4 将github的openwrt源码导入到gitee
  • 一文看完2018苹果秋季新品发布会,你想知道的问题这里都有答案!

    苹果2018秋季新品发布会结束了 此处发布会看完下来内心毫无波澜 并没有多少惊艳到人的地方 倒是处处看到了国产手机发布会的影子 话不多说 下文给大家汇总一下本次苹果新品发布会的重点 命名有国产手机的气息 和此前网传的名字有一些出入 本次发布
  • R语言笔记二(控制结构)

    Control Structures Control structures in R allow you to control the flow of execution of the program depending on runtim
  • 正则表达式—HTML中的匹配

    从HTML中文本中提取Email地址和http URL 是在做爬虫时候的经常用到的技术 虽然变成语言本身可以帮助我们找到他们 但是用正则表达式来匹配也是很有用和具有实际意义的方法 一 匹配HTML Tag HTML不是有特别严格编程要求的
  • ISE14.7使用教程(一个完整工程的建立)

    ISE14 7使用教程 一个完整工程的建立 博主提到 黑金xlinix FPGA 黑金动力社区 http www heijin org 如需转载 请注明出处http www cnblogs com kingst 黑金官网 Http www