ZYNQ学习之路(三):自定义IP实现PL处理PS写入BRAM的数据

2023-11-06

目录

一、实验简介

二、vivado部分处理

三、SDK编程

四、实验测试

五、总结


一、实验简介

ZYNQ系列嵌入式FPGA可以使PS将数据写入PL部分BRAM,PL可以将数据读取后再重新写入BRAM,PS将数据读出后再传走,这样可以使PL部分专心处理数据,不用考虑相对缓慢的数据接收与发送部分,并且可以实现数据的缓存。这也是数据量较小时的PS与PL的数据交互方法,当数据量达到BRAM的存储最大值后,就需要使用DDR来进行交互了。

实验目的:通过自定义IP核,将PS写入BRAM的数据加一后重新写入BRAM,再与第一次写入的数据比较。

二、vivado部分处理

首先创建IP核:Tools->Creat and Package New IP,选择创建AXI4 Peripheral:

在 Name 一栏的名称改为“ pl_bram_plus1”, IP 核的路径改为工程目录下的 ip_repo 文件夹,即删除路径“ /../”中间的一个“ .”符号,其它的设置直接保持默认即可,点击“ NEXT”,直到最后点击“ Finish”按钮完成自定义 IP 核的创建。

到这里IP核的框架已经搭建好了,接下来要去进行细节定义。首先打开IP Catalog,依次展开 User Repository→AXI Peripheral→“ pl_bram_plus1_v1.0”,右键选择“ Edit in IP Packager”。

打开 pl_bram_plus1_v1_0.v 文件,在 Users to add ports here 和 User port ends 中间行添加如下代码,这些端口用于连接 BRAM 端口的 BRAM_PORTB。

output wire ram_clk ,                  //RAM 时钟
input wire [31:0] ram_rd_data,         //RAM 中读出的数据
output wire ram_en
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

ZYNQ学习之路(三):自定义IP实现PL处理PS写入BRAM的数据 的相关文章

  • PLL时钟约束

    方法 1 自动创建基时钟和 PLL 输出时钟 例 derive pll clocks 这一方法使您能够自动地约束 PLL 的输入和输出时钟 ALTPLL megafunction 中指定的 所有 PLL 参数都用于约束 PLL 的输入和输出
  • HLS图像处理系列——在ZEDBoard搭建DDR图像处理通路

    ZYNQ芯片内包含一个丰富特性的基于双核ARM Cortex A9的处理子系统 Processing System PS 和Xilinx 28nm可编程逻辑 Programmable Logic PL PS除了核心外还包括片上存储器 外部存
  • Verilog之assign

    Verilog中的关键词assign主要用于如下两个地方 数据流建模 用于数据流建模的显示连续赋值语句语法格式如下
  • HDLBits刷题_Verilog Language_Procedures_Alwaysblock1

    学习内容 Since digital circuits are composed of logic gates connected with wires any circuit can be expressed as some combin
  • 采用Vivado 配置xilinx GTX的SATA设计

    从Vivado开始 配置GTX的时候 多了一个SATA协议支持 但有些小地方还需要自己另外设置 整理了一下 分享给大家 首先打开Transceivers wizard 打开页签 线速率和参考时钟选择 在协议里面选择SATA2或者SATA3
  • FPGA Xilinx 7系列高速收发器GTX通信

    Xilinx 7系列高速收发器GTX 说明 FPGA TX端 zynq 7z035 RX端 zynq 7z100 两个FPGA通过SFP 光纤 接口相连进行GTX的通信 环境 Vivado2018 2 IP核 7 Series FPGAs
  • FPGA学习笔记(一)__电平知识

    常见电平标准 文章目录 1 TTL电平标准 2 LVTTL电平标准 1 LVTTL3V3 2 LVTTL2V5 3 CMOS电平标准 4 LVCOMS电平标准 1 LVCOMS3V3 2 LVCOMS2V5 3 LVCOMS1V8 4 LV
  • FPGA实现VGA显示图片

    利用FPGA在带有VGA接口的液晶显示器上显示图片 电路原理图 端口说明 VGA R2 VGAB0的8个端口位VGA的RGB数据位 VGA HS为行同步信号 VGA VS为场同步信号 以分辨率为640x480为例 刷新速率为60Hz 每幅图
  • FPGA_MIG驱动DDR3

    FPGA MIG驱动DDR3 说明 FPGA zynq 7z100 DDR3 MT41K256M16TW 107 内存大小为512MB 数据接口为16bit 环境 Vivado2018 2 IP核 Memory Interface Gene
  • Spartan-3E 上的随机数生成

    我需要在 Spartan 3E FPGA 上为我的遗传算法生成伪随机数 并且我想在 verilog 中实现它 您能给我任何关于此的指示吗 当然 Adam 的随机生成器是不可合成的 您必须显式创建一个LFSR 以下示例可能会有所帮助 它是一个
  • VHDL——连接开关和LED

    我有 Xilinx Spartan6 和下一个 VHDL 代码 library ieee use ieee std logic 1164 all use ieee numeric std all entity Switches Leds i
  • 异步FIFO设计之格雷码

    目录 二进制转格雷码 格雷码转二进制 相邻的格雷码只有1bit的差异 因此格雷码常常用于异步fifo设计中 保证afifo的读地址 或写地址 被写时钟 或读时钟 采样时最多只有1bit发生跳变 在不考虑路径延时的情况下 因为源数据 读写地址
  • 在 C 中操作 80 位数据类型

    我正在用 C 实现一些加密算法 其中涉及 80 位密钥 特定操作涉及将密钥旋转移位 x 个位数 我已经尝试过 long double 类型 如果我没记错的话 它是 80 位 但这不适用于位移运算符 我能想到的唯一替代方案是使用 10 个元素
  • 同时读取和写入寄存器

    我计划在 FPGA 上用 VHDL 设计一个类似 MIPS 的 CPU CPU 将具有经典的五级管道 没有转发和危险预防 在计算机体系结构课程中 我了解到第一个 MIPS CPU 用于在时钟上升沿读取寄存器文件并在时钟下降沿写入 我使用的F
  • PyOpenCL 中的时间测量

    我正在 FPGA 和 GPU 中使用 PyOpenCL 运行内核 为了测量执行所需的时间 我使用 t1 time event mykernel queue c width c height block size block size d c
  • FPGA 有哪些实际应用?

    我对我的程序为一个小型七段显示器提供动力感到非常兴奋 但是当我向不在现场的人展示它时 他们总是说 那么你能用它做什么 我永远无法给他们一个简洁的答案 谁能帮我吗 第一 它们不需要具有易失性存储器 事实上 大厂商 Xilinx Altera
  • 赋值语句中的“others=>'0'”是什么意思?

    cmd register process rst n clk begin if rst n 0 then cmd r lt others gt 0 elsif clk event and clk 1 then cmd r lt end if
  • 在 Verilog 程序中使用连续分配?

    在 Verilog 程序中使用连续赋值是否可能和 或有用 例如 是否有任何理由将assign里面一个always堵塞 例如这段代码 always begin assign data in Data end 此外 是否可以用这种方法生成顺序逻
  • 了解 U-Boot 内存占用

    我不明白加载 U Boot 时 RAM 中发生了什么 我正在开发 Xilinx Zynq ZC702 评估套件 并尝试使用 U Boot 在其上加载 Linux 内核 于是我使用Xilinx工具Vivado和SDK生成了一个BOOT bin
  • 学习 Verilog 的资源 [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我是 Verilog 新手 有人可以推荐学习资源 书籍 视频 博客或任何他们有良好个人经验并帮助他们更

随机推荐

  • 蓝以中老师《高等代数》第02章:向量空间与矩阵,笔记

    蓝以中高代第二章笔记
  • Android开源图表库MPAndroidChart

    MPAndroidChart是一款基于Android的开源图表库 MPAndroidChart不仅可以在Android设备上绘制各种统计图表 而且可以对图表进行拖动和缩放操作 应用起来非常灵活 和前面介绍的AChartEngine相比 MP
  • AutoSAR 学习笔记2:AutoSAR架构

    1 应用层 ASW 2 运行时环境层 RTE RTE 是专门为应用软件 AutoSAR 软件组件和 或 AutoSAR 传感器 执行器组件 提供通信服务的层 在 RTE 之上 软件架构风格从 分层 转变为 组件风格 AutoSAR 软件组件
  • 模板模式

    1 模板模式的概念 在模板模式 Template Pattern 中 一个抽象类公开定义了执行它的方法的方式 模板 它的子类可以按需要重写方法实现 但调用将以抽象类中定义的方式进行 这种类型的设计模式属于行为型模式 2 模板模式的特点 子类
  • 从煎鸡蛋的角度理解编程的思维和流程,你适合学吗?

    其实很多门外人对编程都是懵懵懂懂的 我们可以先看一张图来理解一下 思维 就是程序员需要考虑到的各种需求 也就是我们想让计算机帮助我们实现什么 表达 就是计算机可以看懂的指令也就是0和1 那怎么将我们所想向计算机说出来 并且让它帮我们执行 就
  • server2008r2域控时间设置internet时间同步(备忘)

    windows server 2008 r2成为域控后 时间设置里的 internet时间就没有了 为了解决这个问题 用以下CMD命令可解决 w32tm config manualpeerlist time windows com sync
  • iOS 应用获取最上层全屏 Window 的正确方法

    有时候 我们需要将View添加到最上层的Window上 比如 弹出框 Loading等 经常有同学直接通过 UIApplication sharedApplication windows lastObject 来获取 这种方法是非常不严谨的
  • leetcode----JavaScript 详情题解(4)

    目录 2722 根据 ID 合并两个数组 2723 添加两个 Promise 对象 2724 排序方式 2725 间隔取消 2726 使用方法链的计算器 2727 判断对象是否为空 2624 蜗牛排序 2694 事件发射器 2722 根据
  • 集成支付宝报错订单信息有错误,建议联系实家。 错误码: TOTAL FEE EXCEED

    问题 集成支付宝报错 订单信息有错误 建议联系实家 错误码 TOTAL FEE EXCEED 详细问题 笔者按照支付宝沙箱支付快速集成版进行操作 操作完成访问所集成的支付宝 页面如下 发起请求核心代码 response sendRedire
  • ubuntu(20.04)-shell脚本(4)-vmstat-iostat-expr-netstat-arp-Tracert-Route-NBTStat

    vmstat 好iostat 两个命令都适用于所有主要的类unix系统 linux的软件包 都在sysstat软件包中 1 vmstat iostat 基本语法 每列的意义 常用的 Free 空闲的内存空间 si 每秒从磁盘中交换进内存的数
  • 项目问题总结

    1 android studio 导入开源项目源码时要注意与自己包的冲突 比如 你有一个com xxxx的包 而需要导入的是com xx yy 你就不能把整个包复制过来 否则会报can t resolve symbil 因为它根据com会到
  • 虚幻4常见问题

    问题1 问题描述 UE4找不到游戏模块 UE4 the game module fps could not be found 解决方案 重新编译一遍C 项目 通过C 项目启动UE4生成游戏模块 为了防止生成失效可以启动uproject文件再
  • 数组是分配在栈中的

    关于JAVA堆 下面说法错误的是 正确答案 C 你的答案 B 错误 所有类的实例和数组都是在堆上分配内存的 堆内存由存活和死亡的对象 空闲碎片区组成 数组是分配在栈中的 对象所占的堆内存是由自动内存管理系统回收 JVM 关于堆和栈 Java
  • Java 数据转换/进制转换 工具类

    public class ByteUtil 十六进制转为十进制 public static String getHexToTen String hex return String valueOf Integer parseInt hex 1
  • Contact Form 7 获取用户IP和留言url,发布时间

    提交询盘时间 date time 客户访问IP a href remote ip a 点击打开可看到ip所属国家 客户访问产品 url 客户访问日期 date 客户访问者有没有facebook a href your email a
  • XXE(外部实体注入)

    写在前面 这个系列开始写写XXE相关的东西 这里是第一部分 相关资料及使用靶场如下 XML学习 靶场链接 XXE是以XML为基础进行的一种攻击 所以你需要先学习XML 为了更方便你检索题目且由于是国外网站 会带有一定外语及翻译 最后 如果你
  • 监听pda扫描_android系统PDA扫描枪,扫描完成后自带回车,为什么回车监听第一次不起作用,手动提交一次后才能正常提交...

    如题 第一次扫描后 在条码后出现的是回车 而不是绑定的提交按钮的提交功能 手动软键盘提交后 再回到扫描页 再次扫描 就会自动执行提交功能 下面附上源码 privateImageButton 如题 第一次扫描后 在条码后出现的是回车 而不是绑
  • openGL 调用glewInit()失败

    openGL系列文章目录 文章目录 openGL系列文章目录 前言 一 glew官网 二 glew库初始化调用失败 1 引入库 2 glew调用失败原因 着色器 运行结果 前言 OpenGL Extension Wrangler Libra
  • 垂类模型大有前景,但AGI却给自己“挖了个坑”

    巨量模型是个 坑 但垂直模型不是 数科星球原创 作者丨苑晶 编辑丨大兔 2023年4月 GPT 5的相关消息引起了一阵轰动 彼时 人们对巨量大模型既有期待 也有恐惧 更有甚者 认为人类历史或许将因此而画上终止符 但很快 从业者便发现 巨量大
  • ZYNQ学习之路(三):自定义IP实现PL处理PS写入BRAM的数据

    目录 一 实验简介 二 vivado部分处理 三 SDK编程 四 实验测试 五 总结 一 实验简介 ZYNQ系列嵌入式FPGA可以使PS将数据写入PL部分BRAM PL可以将数据读取后再重新写入BRAM PS将数据读出后再传走 这样可以使P