手把手教你使用transciver-ip核的配置

2023-11-16

目前很多行业都会用到transceiver,甚至像pcie、srio等高速接口都调用了transceiver,所以了解并学会其使用方法还是很重要的,本文结合作者的使用经验,让你快速的了解并上手使用。Xilinx提供了Transceivers Wizard IP核用于配置串行transcivers,下面简单的介绍一下常用的IP配置,可满足大部分的需求,本文主要的目的以应用为主,阅过即会用。废话不多说,接下来介绍常用的配置。

打开IP Catalog,在搜索栏中输入关键字transceivers,打开UltraScale FPGAs Transceivers Wizard IP(要选择UltraScale/UltraScale+的系列)
在这里插入图片描述

打开IP,IP的参数由4个tabs组成

1.Basic

在这里插入图片描述

Transceiver configuration preset :预配置,有多种行业标准配置预设可供选择。如果希望进行完全自定义的选择,可以选择Start from scratch。我们选择Start from scratch
Transceiver type:选择要配置的串行收发器类型.这里只能选择GTY

Transmitter部分

Line rate (Gb/s):线速率,单位Gbit/s 比如10g以太网我们输入10.3125
PLL type:选择QPLL类型,可选择QPLL0、QPLL1、CPLL.这里选择QPLL0
QPLL Fractional-N options:
Requested reference clock (MHz):请求的参考时钟,然后点击Calc,会得到分频的小数部分在Fractional part of QPLL feedback divider显示
Actual reference clock (MHz):基于请求的参考时钟,会计算出一系列的实际参考时钟,选择你所需要的。
这里大家可能会不太明白,举个例子,你的参考时钟为100M,在Requested reference clock (MHz)里输入100,点击calc按钮,在Actual reference clock (MHz)这选择100,即为你使用的参考时钟,Fractional part of QPLL feedback divider这里显示的是QPLL分频的小数部分,整数部分这里没有显示。
Encoding:选择编码类型或数据格式处理方式。可根据需要选择8B/10B编码、Async.gearbox for 64B/66B, Sync.gearbox for 64B/66B,我们选择raw模式,原始数据
User data width:选择64
Internal data width:选择64
Buffer:默认enable
TXOUTCLK source:时钟源选择,默认即可

Receiver部分相同配置,若发送接收速率不同,可参考发送部分进行配置

2. Physical Resources

Free-Running and DRP Clock Frequency (MHz):如果使用drp接口,可根据实际情况配置
在这里插入图片描述

3.Optional Features 跟 Structural Options暂时默认,根据实际需要配置

到这里,10g线速率的gty就配置好了。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

手把手教你使用transciver-ip核的配置 的相关文章

  • Quartus II 安装

    本次介绍使用的 Quartus 版本为 10 1 目前 Quartus II 官网已经没有 13 1 以下版本的安装包 大家可以安装 13 1 以上版本的软件 功能都是大同小异 下载地址 FPGA Software Download Cen
  • 在vivado中使用tcl脚本(UG894)

    本文源自UG894 主要介绍如何在vivado中使用tcl脚本 1 vivado中如何获取tcl help vivado中任何自带的命令都可以通过 help 获取帮助信息 也可以直接输入 help 取得vivado命令合集 并通过 help
  • 【数字电路基础】三态门

    目录 前言 三态门 经典问题 前言 文主要讲解三态门 三态门 其模型为 其实际电路为 其真值表为 B A C 0 0 Z 0 1 Z 1 0 0 1 1 1 注意 Z是高阻 不代表没有电压 而是电压不确定 受自身 旁边cell的影响 经典问
  • 基于FPGA的频率计设计

    文章目录 写在前面 1 什么是频率计 2 测量方法与基本原理 3 待测信号如何输入FPGA 一 实验程序 1 RTL图 2 Verilog 参考设计 3 指派引脚 二 调试验证 输入不同频率的方波 写在前面 1 什么是频率计 频率计是一种专
  • [FPGA系列] 扩展知识 --- 时钟小结

    一 基本概念 时钟域 由同一个时钟信号控制的区域 时钟抖动 Jitter 相对于理想时钟信号 实际时钟信号存在时而超前 时而之后的偏移 时钟偏斜 Skew 时钟信号到达数字电路各个部分所用时间的差异 时钟漂移 Wander 工程上解释 抖动
  • FPGA同步复位和异步复位的区别以及设计处理

    FPGA复位信号的设计处理 同步复位 同步复位 同步复位信号跟触发器的时钟是同步的 只有在时钟的跳变沿到来之后才会生效 对应verilog代码如下 这种写法会被编译器综合成同步复位 always posedge clk begin if r
  • 硬件设计---了解电源篇

    1 概述 在高速电路设计中一块单板上常存在多种电源 3 3V 1 8V 1 2V 1 0V 0 9V 0 75V等 有时光是对FPGA供电就需要五六种电源 为了便于使用往往用户只需要提供一种或几种电源 然后经过板上电源模块转换到各个目标电源
  • Xilinx AXI-memory接口 转 AXI-stream 接口(含源码)

    AXI memory接口 转 AXI stream 接口 AXI memory接口介绍 具体详情可以查看源码 AXI memory接口介绍 从图中我们可以看出memory接口有5个通道 分别是读地址通道 写地址通道 写响应通道 读数据通道
  • Verilog的基础知识

    Verilog的基本介绍 硬件描述语言发展至今已有二十多年历史 当今业界的标准中 IEEE标准 主要有VHDL和Verilog HDL 这两种硬件描述语言 一个设计往往从系统级设计开始 把系统划分成几个大的基本的功能模块 每个功能模块再按一
  • FPGA Xilinx 7系列高速收发器GTX通信

    Xilinx 7系列高速收发器GTX 说明 FPGA TX端 zynq 7z035 RX端 zynq 7z100 两个FPGA通过SFP 光纤 接口相连进行GTX的通信 环境 Vivado2018 2 IP核 7 Series FPGAs
  • Lattice Diamond安装

    1 下载 到Lattice官网 http www latticesemi com 注册一个lattice的账号后就可以去下载Diamond 登陆后如下图 根据自己系统情况选择对应的版本 我用的是32位win8 Diamond软件安装包和La
  • 从零开始zynq linux AXI DMA传输

    本文从0开始叙述过程 使用的工具为vivado2016 4 sdk也是2016 4 准备工作 首先下载如下的目标文件 1 下载xilinx官方的bootloader文件 git clone https github com Xilinx u
  • FPGA学习笔记(一)__电平知识

    常见电平标准 文章目录 1 TTL电平标准 2 LVTTL电平标准 1 LVTTL3V3 2 LVTTL2V5 3 CMOS电平标准 4 LVCOMS电平标准 1 LVCOMS3V3 2 LVCOMS2V5 3 LVCOMS1V8 4 LV
  • FPGA功耗估计(二)

    针对于Altera的Cyclone III 做出了静态功耗 对于Altera 其提供了一个功耗早期估计工具 可以在官网上下到 首先需要将宏设置为安全 在excel选型中选择文件 之后便可看到 根据相应的选择 红框部分 可以查看静态功耗 对于
  • 同时读取和写入寄存器

    我计划在 FPGA 上用 VHDL 设计一个类似 MIPS 的 CPU CPU 将具有经典的五级管道 没有转发和危险预防 在计算机体系结构课程中 我了解到第一个 MIPS CPU 用于在时钟上升沿读取寄存器文件并在时钟下降沿写入 我使用的F
  • PyOpenCL 中的时间测量

    我正在 FPGA 和 GPU 中使用 PyOpenCL 运行内核 为了测量执行所需的时间 我使用 t1 time event mykernel queue c width c height block size block size d c
  • if 语句导致 Verilog 中的锁存推断?

    我正在编写用于合成算法的 Verilog 代码 我对哪些情况可能导致推断锁存器有点困惑 下面是这样的一段代码 虽然它在模拟中工作得很好 但我担心它可能会导致硬件问题 always b1 or b2 b1 map b2 map m1 map
  • 如何使用 Verilog 和 FPGA 计算一系列组合电路的传播延迟?

    我是 FPGA 和 HDL 的新手 但我正在尝试学习 但无法弄清楚这一点 如何通过多个级别的组合逻辑来计算或估计传播延迟 我可以仅凭经验确定这一点 还是可以在设计时弄清楚 在这种情况下 我使用 FPGA 来实现奇偶校验设置和检查电路 该电路
  • FPGA 有哪些实际应用?

    我对我的程序为一个小型七段显示器提供动力感到非常兴奋 但是当我向不在现场的人展示它时 他们总是说 那么你能用它做什么 我永远无法给他们一个简洁的答案 谁能帮我吗 第一 它们不需要具有易失性存储器 事实上 大厂商 Xilinx Altera
  • 映射 MMIO 区域写回不起作用

    我希望对 PCIe 设备的所有读写请求都由 CPU 缓存进行缓存 然而 它并没有像我预期的那样工作 这些是我对回写 MMIO 区域的假设 对 PCIe 设备的写入仅在缓存回写时发生 TLP 有效负载的大小是缓存块大小 64B 然而 捕获的

随机推荐

  • 攻防世界misc——misc1

    打开题目 获得字符串 d4e8e1f4a0f7e1f3a0e6e1f3f4a1a0d4e8e5a0e6ece1e7a0e9f3baa0c4c4c3d4c6fbb9e1e6b3e3b9e4b3b7b7e2b6b1e4b2b6b9e2b1b1b
  • Kali无法打开Firefox浏览器

    本文章鉴于我本人的学习过程 起初我是能正常打开Firefox浏览器的 在我提升了root权限后浏览器就打不开了 于是我看了几篇其他人解决的办法 解决办法如下 用chown改变权限 输入 chown R root 目前我所遇到的就是这种情况
  • 计算机网络安全的背景

    虽然传统的计算机发展和当今的电子商务不同 但是不可否认网络已经成 为非常重要的信息和数据互换交换的平台 但是随着网络不断发展渗透到人们的日 常生活 手机终端 交易支付等环节时 网络安全已经成为一个焦点和不可逾越的 发展鸿沟 尽管目前网上支付
  • json 插入数据_使用python将数据存入SQLite3数据库

    作者 JiekeXu 2017年毕业于某本科院校 从事于数据库运维行业 一个热爱Python的DBA 个人公众号 JiekeXu之路 Python从网站上抓取的数据为了可以重复利用 一般都会存储下来 存储方式最简单的会选择存储到文本文件 常
  • 微信中的video属性设置

  • python数据驱动测试设计_Python Selenium 之数据驱动测试的实现

    数据驱动模式的测试好处相比普通模式的测试就显而易见了吧 使用数据驱动的模式 可以根据业务分解测试数据 只需定义变量 使用外部或者自定义的数据使其参数化 从而避免了使用之前测试脚本中固定的数据 可以将测试脚本与测试数据分离 使得测试脚本在不同
  • 【 视频 】NTSC和PAL电视制式

    今天的电视机还沿用着50年代彩色电视发明时的标准 它们就是NTSC 国家电视制式委员会 和PAL 逐行倒相 NTSC多用于美国和日本 二战 PAL多用于欧洲 澳大利亚 中东和亚洲地区 本文将介绍NTSC和PAL的主要概念 这些知识对更现代的
  • 苹果Mac电脑文件夹路径怎么看?“访达”也能显示文件路径

    Windows系统中 我的电脑 或 资源管理器 会显示文件 文件夹路径 Mac在 访达 中却不显示 确实不便 也让人费解 连 三指拖移 都是默认不开启 Mac有这类奇怪逻辑就一点也不奇怪了 是的 这是苹果最不可理喻之处 老子就是要到处嚷嚷
  • 力扣刷题(day0011)两个数组的交集

    给定两个数组 nums1 和 nums2 返回 它们的交集 输出结果中的每个元素一定是 唯一 的 我们可以 不考虑输出结果的顺序 示例 1 输入 nums1 1 2 2 1 nums2 2 2 输出 2 示例 2 输入 nums1 4 9
  • python中的连续比较是什么_Python算法的分治算法,python,之,连续,子,列表,最大,和...

    连续子列表的最大和 在一个列表中找到连续子列表的最大和 列表中的数字可负可正 并且子列表不能为空 问题提出 找到以下列表的最大子列表的和 2 1 3 4 1 2 1 5 4 解题思路 最大子列表有可能在左子列表 右子列表与右子列表之间 我们
  • python小数格式:不用科学计数法、不截断

    对于一个小数 如 0 0000000000001 想得到相应的字符串 而不是转换为 1e 12 可以用 numpy 的 format float positional import numpy as np a 0 0001 b 0 0000
  • anaconda安装所有库代码集总

    1 安装jupyter conda install jupyter
  • 接口请求合并的3种技巧,性能直接爆表!

    将相似或重复请求在上游系统中合并后发往下游系统 可以大大降低下游系统的负载 提升系统整体吞吐率 文章介绍了 hystrix collapser ConcurrentHashMultiset 自实现BatchCollapser 三种请求合并技
  • 5.3.6 复合主键@IdClass

    有时一个实体的主键可能同时为多个 例如同样是之前使用的 CustomerEO 实体 需要通过name和email来查找指定实体 当且仅当name和email的值完全相同时 才认为是相同的实体对象 要配置这样的复合主键 步骤如以下所示 1 编
  • 快速排序(Java实现) 单边循环、多边循环

    package com xiaoxin sort import java util Arrays public class quickSort me public static void main String args int arr 9
  • 关于CSS及JS的使用技巧浅谈

    使用CSS预处理器 例如Sass和Less 可以帮助开发者更快速 更有效地编写CSS代码 模块化 将CSS和JS代码分解为模块 可以帮助开发者更好地组织和管理代码 压缩和合并 使用压缩工具可以帮助减少CSS和JS文件的大小 使网站更容易加载
  • minikube单机安装nfs服务

    1 安装 nfs server sudo apt get update sudo apt get install y nfs kernel server 2 创建目录 配置 IP 共享目录绑定 vim etc exports 新增 data
  • Shiro权限框架-实现分布式会话SessionManager(7)

    1 会话的问题 2 分布式会话实现思路 1 原理分析 所有服务器的session信息都存储到了同一个Redis集群中 即所有的服务都将 Session 的信息存储到 Redis 集群中 无论是对 Session 的注销 更新都会同步到集群中
  • vue+elementUI图片预览,<el-image> 的使用

    vue elementUI图片预览 el image 的使用 本文转载自 https www cnblogs com allanlau p 13397625 html 首页定义data data return imgs imgsVisibl
  • 手把手教你使用transciver-ip核的配置

    目前很多行业都会用到transceiver 甚至像pcie srio等高速接口都调用了transceiver 所以了解并学会其使用方法还是很重要的 本文结合作者的使用经验 让你快速的了解并上手使用 Xilinx提供了Transceivers