紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

2023-11-18

    Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具,主要用于验证数字电路设计是否正确。紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真,这里作简要的介绍。

添加仿真库

方法一

    打开 Pango Design Suite,点击 Tools -> Compile Simulation Libraries,在弹出来的窗口中,设置需要编译的器件库、编译库路径、Modelsim 路径。

在这里插入图片描述
    点击 Compile,等待编译结束。

在这里插入图片描述
    最后,打开前面设置的编译库路径,将 modelsim.ini 配置文件拷贝到 Modelsim 安装路径下。打开 Modelsim,在 Library 列表中看到以下库名称,就说明库编译完成了。

在这里插入图片描述

方法二

    如果不想使用 PDS 软件编译仿真库,还可以通过 tcl 脚本编译仿真库。首先,在桌面新建文本文件 pango_sim_compile.txt,修改文件后缀名为 tcl,用记事本打开,输入以下脚本并保存。

# 创建pango库
vlib pango

# 映射逻辑库到存储库
vmap pango ./pango

# 定义仿真文件存储路径
set pango_file_dir D:/pango/PDS_2021.4/arch/vendor/pango/verilog/simulation

# 编译库
vlog -incr $pango_file_dir/*.v -work pango

    打开 Modelsim,在命令行窗口中输入

source C:/Users/Administrator/Desktop/pango_sim_compile.tcl

    等待编译结束,Library 列表中就出现了 pango 库。

在这里插入图片描述
在这里插入图片描述

方法三

    如果不想编写 tcl 脚本,还可以直接添加已经编译好的仿真库。将附件压缩包解压到 Modelsim 的安装路径下,并在 modelsim.ini 文件中增加 pango 库的路径信息。

在这里插入图片描述
    打开 Modelsim,出现了 pango 库就说明仿真库添加成功。

联合仿真

    这里尝试仿真紫光同创 PLL IP 核,在 PDS 软件中配置 PLL IP 核并生成设计文件,clkin1 频率为 50MHz,clkout1 和 clkout2 的频率均为 200MHz,相位相差 180°。

请添加图片描述

     IP 核生成文件中自带了激励文件,将设计文件和激励文件添加进 Modelsim,编译,指定 pango 库并启动仿真。

在这里插入图片描述

    添加波形到波形窗口,测量得 clkout0 的频率约为 200MHz。

请添加图片描述

附件

    1. 紫光同创 Logos 系列 FPGA 行为级仿真库

    2. 紫光同创 Logos 系列 FPGA 网表级仿真库

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真 的相关文章

  • MIPI D-PHY介绍(二) FPGA

    MIPI D PHY介绍 二 FPGA 随着移动设备的广泛普及 MIPI D PHY作为其最主要的物理层标准之一 被越来越多地使用在各种嵌入式系统中 本文将详细介绍MIPI D PHY的工作原理和在FPGA设计中的实现方法 MIPI D P
  • Verilog HDL——分频 计数

    分频 计数 module traffic Clk 50M Rst Clk30 Clk 1Hz input Clk 50M Rst output Clk30 Clk 1Hz 分频器 reg Clk 1Hz 分频器 50M分频 reg 31 0
  • 手把手教你Modelsim仿真【2020.4版本】

    首先新建一个文件夹 test5 打开Modelsim 依次选择 File gt Change Directory 把目录选择到创建的 test5 文件夹 创建库 依次选择 File gt New gt Library 一般我们选择第三个 库
  • verilog中wire和reg类型的区别

    module counter parameter CNT MAX 25 d24 999 999 input wire sys clk input wire sys rst n output reg led out reg 24 0 cnt
  • 最详细的Vivado安装教程

    V i v a d o 安 装
  • FIFO读写控制

    如果在两个模块之间传输数据 两个模块之间的处理速率不同 会导致采集数据的遗漏或错误 在他们之间加一个数据缓存器 所有数据先经过缓存器缓存 再输入数据接送模块 创建两个模块 一个 作为发送模块 一个作为接受模块 发送模块检测到 fifo为空开
  • Verilog实现两路组相联cache

    cache代码 timescale 1ns 1ps cache共32块 分为16组 每组2块 即两路组相联 1块 4字 1字 4字节 主存共1024块 4096个字 主存地址共12位 1 0 为块内偏移 5 2 为组地址 11 6 为Tag
  • 握手2倍速率进,一倍速率出[verilog]

    module two to one parameter WORD LEN 33 input clk input arst input 2 WORD LEN 1 0 i din input i din valid output o din r
  • Verilog之assign

    Verilog中的关键词assign主要用于如下两个地方 数据流建模 用于数据流建模的显示连续赋值语句语法格式如下
  • 八段数码管动态显示(输入数据为BCD编码)

    八段数码管动态显示 输入数据为BCD编码 一 数码管概述 图1 八段共阴数码管内部等效原理图 图2 八段共阳数码管内部等效原理图 上面两图分别是对应八段共阴 共阳的数码管内部等效图 共阴是将八个LED数码管的阴极连接在一起接低 阳极segm
  • FPGA_时钟显示(时钟可调)

    1 实验说明 在数码管显示数据的基础上 让六位数码管显示数字时钟 并且通过按键可以对时间进行修改 实验目标 六位数码管分别显示时间的时分秒 且通过按键可实现加减调整时间及清零功能 key1 切换键 选择待调整的时间单位 时 分 秒 key2
  • 【FPGA】面试问题及答案整理合集

    面试问题及答案整理合集 1 硬件描述语言和软件编程语言的区别 2 FPGA选型问题 3 建立时间和保持时间问题 3 亚稳态问题 4 竞争和冒险问题 5 乒乓操作问题 6 同步和异步逻辑电路 7 同步复位和异步复位 8 MOORE 与 MEE
  • 【Xilinx Vivado时序分析/约束系列4】FPGA开发时序分析/约束-实验工程上手实操

    目录 建立工程 添加顶层 模块1 模块2 添加约束文件 编辑时钟约束 打开布线设计 代码代表的含义 时序报告 进行时序分析 Summary 包含了汇总的信息量 Source Clock Path 这部分是表示Tclk1的延时细节 Data
  • FPG—VGA显示器字符显示(附代码)

    目录 1 实操 1 1 字符取模 1 2 顶层模块 1 3 图像数据生成模块 1 3 1 模块框图 1 3 2 波形图绘制 1 3 3 代码编写 1 3 4 仿真验证 2 总结 本例程大部分与VGA显示驱动内容相同 只是显示部分改变了 故此
  • [从零开始学习FPGA编程-28]:进阶篇 - 基本组合电路-奇偶校验生成器(Verilog语言版本)

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 目录 第1章 奇偶校验生成器 1 1 什么是奇校验 1 2 Verilog语言描述
  • [HDLBits] Exams/ece241 2014 q7a

    Design a 1 12 counter with the following inputs and outputs Reset Synchronous active high reset that forces the counter
  • 【电子技术】什么是LFSR?

    目录 0 前言 1 数学基础 1 1 逻辑异或 1 2 模2乘法 和 模2除法 2 线性反馈移位寄存器LFSR 3 抽头和特征多项式 4 阶线性反馈移位寄存器实例 0 前言 线性反馈移位寄存器 Linear Feedback Shift R
  • 【FMC141】基于VITA57.4标准的4通道2.8GSPS 16位DA播放子卡(2片DAC39J84)

    FMC141是一款基于VITA57 4标准的4通道2 8GSPS 2 5GSPS 1 6GSPS采样率16位DA播放FMC子卡 该板卡为FMC 标准 符合VITA57 4与VITA57 1规范 16通道的JESD204B接口通过FMC 连接
  • 基于FPGA的简易BPSK和QPSK

    1 框图 2 顶层 3 m generator M序列的生成 输出速率为500Kbps 4 S2P是串并转换模块 将1bit的m序列转换到50M时钟下的2bit M序列数据 就有4个象限 5 my pll是生成256M的时钟作为载波 因为s
  • Matlab图像处理系列——图像复原之噪声模型仿真

    微信公众号上线 搜索公众号 小灰灰的FPGA 关注可获取相关源码 定期更新有关FPGA的项目以及开源项目源码 包括但不限于各类检测芯片驱动 低速接口驱动 高速接口驱动 数据信号处理 图像处理以及AXI总线等 本节目录 一 图像复原的模型 二

随机推荐

  • 持续自适应信任(CAT)-企业零信任最佳范式|身份云研究院

    零信任安全治理理念不再是陌生的话题 随着社会面临更复杂的信息安全风险 不断变化的网络环境使得基于边界的安全架构不再具备抵御内外部安全威胁的能力 传统的以网络中心化的安全体系架构也逐步过渡到以身份为中心的网络访问控制理念 基于零信任理念衍生的
  • windows下以指定用户访问SMB服务器进行读写

    一 概述 最近遇到一个问题 linux 的 smb服务器开启匿名访问 windows访问linux文件夹不需要用户名密码就可以进去使用 但是存在一个问题 ssh连接到linux 后修改的文件 在windows已smb方式下打开某个文件修改
  • 【文献翻译】信息安全管理自动化的可能性 - Automation possibilities in information security management

    摘要 ISO 27001中定义的信息安全管理涉及建立 实施 操作 监控 审查 维护和改进信息安全管理系统 本文分析了信息安全管理自动化的可能性 该分析考虑了使用 i 风险管理中的安全本体 ii 用于某些安全控制自动运行的硬件和软件系统 以及
  • JVM3-类文件结构

    六 类文件结构 计算机到目前为止 都只能识别0和1 所以我们写的程序都需要被编译器翻译成0和1的二进制格式才能被计算机执行 很多程序语言选择了与操作系统和机器指令集无关的 平台中立的格式作为程序编译后的格式 而不再需要像最初那样把程序编译成
  • PMP课程笔记:第4章 项目整合管理

    目录 预测型生命周期 0 项目整合管理的基本概念 1 项目整合管理 2 整合的发展趋势 3 项目管理过程三从四德 4 项目整合管理实现过程 重要 1 制定项目章程 1 1 制定项目章程 输入 1 2 制定项目章程 输出 1 3 制定项目章程
  • 使用RPC对某者web端骑行数据进行爬取

    使用RPC技术hook web端JS 骑行app某者web端爬虫 2022 2 1 获取轨迹ID 通过更改年月 可以发现获取每个月轨迹ID 的方式 这个非常简单 只需要拷贝请求头headers就可以直接获取 2 获取轨迹详情 可以发现三个请
  • 主键和唯一索引

    主键和唯一索引 主键 主关键字 primary key 是表中的一个或多个字段 它的值用于唯一地标识表中的某一条记录 唯一索引 一种索引 不允许具有索引值相同的行 从而禁止重复的索引或键值 系统在创建该索引时检查是否有重复的键值 并在每次使
  • 【生信原理】初探芯片表达谱分析

    初探芯片表达谱分析 文章目录 初探芯片表达谱分析 实验目的 实验内容 实验题目 实验过程 数据的获取 解压与读取 数据预处理 背景纠正 标准化和探针信号汇总等 数据过滤 探针过滤 探针注释 添加基因注释信息 limma差异分析 差异表达基因
  • DM备份数据或者还原备份管道连接超时问题。

    问题 第一 必须以dmdba的用户执行 第二 启动DmAPService 服务 启动失败了 删掉管道文件再启动 启动成功 再执行就OK了
  • 【华为OD机试 2023 B卷

    在线OJ 已购买本专栏用户 请私信博主开通账号 在线刷题 运行出现 Runtime Error 0Aborted 请忽略 华为OD统一考试A卷 B卷 新题库说明 2023年5月份 华为官方已经将的 2022 0223Q 1 2 3 4 统一
  • linux C程序中获取shell脚本输出

    linux C程序中获取shell脚本输出 分类 linux C开发 2008 06 16 22 44 820人阅读 评论 0 收藏 举报 shell 脚本 linux c system cmd 目录 linux C程序中获取shell脚本
  • 【业务功能篇78】微服务-前端后端校验- 统一异常处理-JSR-303-validation注解

    5 前端校验 我们在前端提交的表单数据 我们也是需要对提交的数据做相关的校验的 Form 组件提供了表单验证的功能 只需要通过 rules 属性传入约定的验证规则 并将 Form Item 的 prop 属性设置为需校验的字段名即可 校验的
  • socket局域网测试是可以的,但是在腾讯云/阿里云上报错“[Errno 99] Cannot assign requested address”

    现在云服务器一般都是只有内网地址 通过公网IP访问时 由云服务器运营商映射到内部网络的 因此 如果部署socket服务时 配置server ip应该是内网IP 解决方法 服务端的ip填服务器的私网ip 客户端填公网ip
  • 【Django】Python+Django 图文教程

    Django新手图文教程 本文面向 有python基础 刚接触web框架的初学者 环境 windows7 python3 5 1 pycharm专业版 Django 1 10版 pip3 一 Django简介 百度百科 开放源代码的Web应
  • 字节跳动测试岗面试挂在2面,复盘后,我总结了失败原因,决定再战一次...

    先说下我基本情况 本科不是计算机专业 现在是学通信 然后做图像处理 可能面试官看我不是科班出身没有问太多计算机相关的问题 因为第一次找工作 字节的游戏专场又是最早开始的 就投递了 投递的是游戏测试开发岗 字节是自己投的第一家公司 也是第一家
  • 【NLP】通过迁移学习加速 AI 模型训练

    大家好 我是Sonhhxg 柒 希望你看完之后 能对你有所帮助 不足请指正 共同学习交流 个人主页 Sonhhxg 柒的博客 CSDN博客 欢迎各位 点赞 收藏 留言 系列专栏 机器学习 ML 自然语言处理 NLP 深度学习 DL fore
  • Java机试题

    整理自Java经典编程50题 面试笔试机试 腾讯云开发者社区 腾讯云 1 回文数 public static boolean palindrom Integer integer String str1 String valueOf inte
  • Kibana在Centos上开机启动

    1 需要下载kibana 去官网下 2 解压到自己指定的目录下 我是放到了 usr local下 3 执行 vi usr lib systemd system kibana service 插入下面内容 Unit Description k
  • /dev/zero和/dev/null的区别

    可以通过使用dd if dev zero of archive test dbf bs 8k count 1000000 来测试磁盘的纯写入性能 使用dd if file of dev null 来测试磁盘的纯读取性能 使用dd if fi
  • 紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

    Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具 主要用于验证数字电路设计是否正确 紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真 这里作简要的介绍 添加仿真库 方法一 打开 Pang