简单赋值时不输出期望值

2023-12-12

当我将一些值分配给具有四位的变量时,当我简单地输出该值时,我会得到意想不到的结果。我以前从未见过这个,想知道我是否在语法上做错了什么。

module main;   
     reg [3:0] x;   
     initial 
     begin
         $monitor("%b",x);
         x=0010;
     end 
endmodule

我得到的输出1010。但是,我期望得到的输出0010.


Verilog 将您的数字解释为十进制,因为您没有指定基数。号码0010在你的 Verilog 代码中是十进制 (10),即1010以二进制格式。x=0010是相同的x=10。您需要添加二进制基说明符。改变:

     x=0010;

to:

     x='b0010;
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

简单赋值时不输出期望值 的相关文章

  • [Verilog] Verilog 基本格式和语法

    主页 元存储博客 全文 3000 字 文章目录 1 声明格式 1 1 模块声明 1 2 输入输出声明 1 3 内部信号声明 1 4 内部逻辑声明
  • 「Verilog学习笔记」游戏机计费程序

    专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点 刷题网站用的是牛客网 timescale 1ns 1ns module game count input rst n 异位复位信号 低电平有效 input clk 时
  • 为什么这个 verilog 关系语句返回 true?

    我有一条名为 sin hall2 的 9 位签名线 该语句返回 true sin hall2 8 0 gt 9 d1 当我查看模拟时 sin hall2 169 我假设这是 verilog 处理比较负数的方式 但我做错了什么 当我执行 si
  • 用于 Verilog 或 SystemVerilog 的 TAP(测试任何协议)模块

    是否有 TAP 测试任何协议 http testanything org Verilog 的实现 那就太好了 因为这样我就可以使用证明来自动检查我的结果 更新 10 9 09 有人问为什么不使用断言 部分 TAP 为我提供了一些很好的报告
  • 使用forever和always语句

    以下两个代码都会生成一个时钟 我需要知道除了时钟生成之外 永远循环是否还有其他用途 我只在时钟一代中遇到过永远 如果只是为了这个目的 那岂不是毫无用处 initial begin clk 0 forever begin 5 clk clk
  • C 中对“main”的未定义引用

    您好 我在使用 gcc 编译 c 代码时遇到以下错误 usr lib gcc x86 64 redhat linux 4 4 6 lib64 crt1 o In function start text 0x20 undefined refe
  • 连接分层模块:SystemVerilog 中的结构与接口

    在 SystemVerilog 中 分层模块可以通过简单数据类型 复杂数据类型 结构 联合等 或接口进行连接 我感兴趣的功能是将两个模块之间的所有信号聚合到一个地方 这简化了代码的维护 例如 在下面的例子中 更改 s point 的定义而不
  • UVM 测试平台 - 将两个不同驱动程序连接到同一接口的“UVM”方式是什么?

    在我的测试平台中 我有一个需要驱动的接口 该接口可以以两种不同的模式驱动 每种模式都有自己的驱动程序协议和事务类型 到目前为止 我已经分别设计了两个 uvm agents 现在 我需要一种方法来交换其中一个或另一个 具体取决于我正在运行的测
  • verilog 中的“<<”运算符

    我有一个verilog代码 其中有一行如下 parameter ADDR WIDTH 8 parameter RAM DEPTH 1 lt lt ADDR WIDTH 这里将存储什么RAM DEPTH以及什么是 lt lt 操作员在这里做
  • 如何获取值数组作为 plusargs?

    如何获取值数组作为参数 我需要从命令行获取一组未定义大小的命令 如何将这些参数放入数组或队列中 Eg CMDS READ WRITE READ N WRITE 它应该被带到一个数组中 value plusargs不支持数组 但支持字符串 看
  • 在verilog中将wire值转换为整数

    我想将电线中的数据转换为整数 例如 wire 2 0 w 3 b101 我想要一个将其转换为 5 并将其存储在整数中的方法 我怎样才能以比这更好的方式做到这一点 j 1 for i 0 i lt 2 i i 1 begin a a w i
  • Verilog 中的“net”代表什么?

    我刚刚开始学习Verilog 据我了解 Verilog有net数据类型 什么是net代表 网络就是这样一种数据类型 您不使用它来存储值 它们代表物理连接 您可以将线路视为一种网络数据类型 你可以去网上看看更多here http www ee
  • FPGA大输入数据

    我正在尝试向 FPGA 发送 4 KB 字符串 最简单的方法是什么 是我正在使用的fpga的链接 我正在使用 Verilog 和 Quartus 您的问题的答案在很大程度上取决于将数据输入 FPGA 的内容 即使没有您需要遵守的特定协议 S
  • 标识符必须用端口模式声明:busy。 (Verilog)

    我有如下所示的 Verilog 代码 当我编译它时 我收到以下错误消息 并且代码的第一行突出显示 Error 标识符必须用端口模式声明 busy Code module main clk rst start busy ready cnt s
  • 如何在Verilog中将二维数组中的所有位设置为0?

    我构建了一个 8 2bits 数组来表示 Verilog 中的一块内存 reg 1 0 m 0 7 该存储器有一个复位信号 如果复位为1 则该存储器中的所有位都应重置为0 但是我不知道如何以简洁的方式设置m的所有位 因为如果有数百个内存中有
  • Verilog 中的 If 语句和分配连线

    我试图弄清楚基于组合逻辑分配电线的基础知识 I have wire val wire x wire a wire b always begin if val 00 I want to assign x a if val 01 I want
  • verilog 中的案例陈述

    我遇到了优先级编码器设计 并找到了一种使用 case 语句来实现它的新方法 唯一令人困惑的是 case语句是否优先考虑case 例子 case 1 b1 A 3 Y lt 4 b1000 A 2 Y lt 4 b0100 A 1 Y lt
  • 系统 verilog 中没有类型的输入

    我在一个系统 verilog 代码的输入和输出的示例中遇到过module没有说明它们的类型 例如logic wire module mat to stream input 2 0 2 0 2 0 a b input newdata inpu
  • 如何匹配和删除队列中的元素?

    根据1800 2012 规格 http standards ieee org getieee 1800 download 1800 2012 pdf Queue delete input int index 删除 SystemVerilog
  • 学习 Verilog 的资源 [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我是 Verilog 新手 有人可以推荐学习资源 书籍 视频 博客或任何他们有良好个人经验并帮助他们更

随机推荐

  • Android - 由于回收问题而无法检查自定义列表视图中的所有复选框?

    我有一个自定义列表视图适配器 带有图像视图 文本视图和复选框 我的主布局中还有一个按钮和一个复选框 不在列表视图中 我想要的是当我检查主布局的复选框时立即检查所有这些列表视图复选框 我将这些复选框的状态保存在布尔类型数组列表中 这样当我逐一
  • Python 字典迭代顺序意外排序。为什么? [复制]

    这个问题在这里已经有答案了 字典由连续的整数键填充 如下所示 d dict for i in range 0 10 d i 100 i 之后 字典项会像这样迭代 for k v in d items print k v 结果显示项目按数字顺
  • 矩阵乘法:为什么非阻塞优于阻塞?

    我试图通过阻止循环来提高缓存性能来加速矩阵乘法算法 但无论矩阵大小 块大小如何 非阻塞版本仍然明显更快 我已经尝试了 2 到 200 之间的许多值 效力 2 及其他 和优化级别 非阻塞版本 for size t i 0 i lt n i f
  • HTML 组合框,带有输入条目的选项

    我的印象是 除了选择列表中已有的任何值之外 您还可以在组合框中键入内容 但是 我似乎无法找到有关如何执行此操作的信息 我需要添加一个属性来允许输入文本吗 Before datalist 参见下面的注释 您需要提供额外的input供人们输入自
  • 在 iOS 中更新/修改地址簿联系人后的通知

    iOS 更新或更改通讯录信息后是否有通知 Use ABAddressBookRegisterExternalChangeCallback收听地址簿中的更新 您还可以收听 ABCDataBaseChangedExternallyNotific
  • 为什么 UITextField 在将自身设置为 delegate 时会锁定

    我有一个扩展 UITextfield 的类 我还将相同的类设置为它自己的委托 因此当选择文本字段时我可以更改背景颜色 一旦我选择文本字段并输入几个字母 应用程序就会锁定并崩溃 这是我的 m 文件的样子 implementation MyTe
  • 如何按需启动/停止Delphi监控线程?

    我一直在寻找一种方法来监视 Delphi 中的特定注册表更改 找一个solution在 about com 上 procedure TRegMonitorThread Execute begin InitThread method omit
  • dword ptr 和 dword ptr:es 之间的区别

    我只是在 VS2010 中检查我的 C 程序的反汇编 这里是 int main 00B613A0 push ebp 00B613A1 mov ebp esp 00B613A3 sub esp 0D4h 00B613A9 push ebx 0
  • iphone如何处理按键事件

    你好 我正在开发 iphone 应用程序 并且想要处理 iphone 中的键盘事件 在Mac中 有一个类NSEvent可以处理键盘和鼠标事件 而在ios iphone ipad 中 NSEvent的对应类是UIEvent 它只处理触摸事件
  • 保留换行符的 C++ 预处理器字符串化?

    我需要记录 出于审计 记录目的 在我的代码中传递的 lambda 函数的代码 当然 lambda对象也需要保存 所以我想出了一个宏观的解决方案 如下 define LAMBDA AND STRING lambda lambda lambda
  • Android 互联网权限被忽略

    我有一个需要互联网许可的应用程序 但它似乎不适合我 I added
  • 相似度-抛光和包装

    我在用着Ploeh SemanticComparison s Likeness作为有效表达映射过程的预期输出的一种方式 如中所述Mark Seemann 在 PluralSight 上发表的优秀高级单元测试课程 我正在测试一些数据是否已正确
  • 斯坦福-NER定制对软件编程关键字进行分类

    我是 NLP 新手 我使用斯坦福 NER 工具对一些随机文本进行分类 以提取软件编程中使用的特殊关键字 问题是 我不知道如何更改斯坦福 NER 中的分类器和文本注释器来识别软件编程关键字 例如 today Java used in diff
  • 为什么包含空字符串的列表为真?

    我在工作中发现了一些返回包含空字符串的列表的内容 为了简单起见 我创建了一个示例 big ol trickster if big ol trickster foo else print You can t trick me 而且这个条件每次
  • 如何在 Docker Compose V2 中扩展服务?

    我有三个不同的项目 ProjectS 依赖于 Project 而 Project 又依赖于 Project 假设您只想开发 ProjectC 因此我想仅在运行时使用具有一个容器的设置 项目需要项目 所以我必须定义一个带有两个图像的docke
  • 获取 vcproj 文件中 $(ProjectDir)、$(SolutionDir) 的值

    是否可以得到的值 ProjectDir or SolutionDir 在 Visual Studio 之外以编程方式 我的情况是我需要将 vcproj 文件作为文本文件读取并获取其属性 如输出目录等 问题是某些属性包含环境变量 如 Solu
  • 具有动态大小的 Fortran 数组,与 R 函数 seq() 一样简单

    我想编写像 R 函数 seq 一样工作的 Fortran 代码 例如 x lt seq 0 1 0 1 将给出向量 x lt c 0 0 1 0 2 1 我将运行几次模拟 序列的长度将发生变化 在 R 中 这很容易完成 只需改变 seq 中
  • 如何确保仅当单击按钮时它才重定向到特定网址 javascript

    所以我以某种方式构建了它将总计从结账页面转移到索引页面 在本例中是付款页面 但这是一个问题 当进入结帐页面时它会自动转到索引页面 但我希望它仅在按钮打开时才这样做单击 我尝试使用 addEventListener 执行某些操作 但它只是在i
  • 粘性表格标题

    我正在用这个plugin在我的中实现粘性表头Table 实际上 就像在插件示例和我的页面中一样 表头在表中的最后一行稍后消失 我希望我的表头在最后一行消失时消失 有机会实现这一点吗 这是一个工作示例 fiddle 我所改变的只是这一行的结尾
  • 简单赋值时不输出期望值

    当我将一些值分配给具有四位的变量时 当我简单地输出该值时 我会得到意想不到的结果 我以前从未见过这个 想知道我是否在语法上做错了什么 module main reg 3 0 x initial begin monitor b x x 001