FPGA之JESD204B接口——总体概要 实例 下

2023-05-16

1、概述
DAC正常工作的前提是电源以及时钟稳定工作,因此需要首先配置锁相环,根据ADI评估板EVAL-AD9174开发记录—上的时钟需求配置出需要的时钟频率,待时钟锁定后配置dac内部锁相环,NCO,jesd模式等寄存器,待dac配置完成后实现与FPGA之间数据link。

                                                                    在这里插入图片描述
2、HMC7044配置
2.1、接口驱动
时钟接口代码由ROM配置模块、操作维护配置模块和SPI接口组成。其中ROM配置模块用于存储时钟和时钟的上电配置数据;操作维护配置接口则用于接收从操作维护接口送入的配置数据,并转换为SPI接口所需的数据;SPI接口负责将并行配置数据按照PLL芯片所定义的SPI时序,转换为串行数据送给PLL芯片进行寄存器配置。

 写时序:首先片选信号拉低,接着24位数据依次在24个时钟上升沿送入HMC7044中;

                            在这里插入图片描述 

读时序:如图1-14所示,片选信号拉低,接着16位数据在时钟上升沿送入时钟芯片中,对应8 bit寄存器数据会随着下个时钟上升沿串行传输返回至FPGA。

                      在这里插入图片描述
2.2、配置参数
根据pll数据手册以及adi锁相环工具可以比较方便导出所需要时钟的配置参数,本设计只使用了单锁相环模式,主要配置参数有锁相环R/N分频,环路滤波参数、通道分频参数等。

 在这里插入图片描述

参考手册及pll工具:
① HMC7044
② ADIsimCLK
③ HMC7044 GUI
通过HMC7044 GUI界面配置可以导出完整的配置参数,导入到rom中进行上电默认配置。

 3、DAC配置
3.1、接口驱动
同PLL配置,不再赘述。


3.2、配置参数
DAC配置寄存器步骤如下所示:
1、 对DAC供电并设置寄存器为写模式;
2、 配置DAC内部锁相环PLL;
3、 配置DAC内部DLL;
4、 校准控制寄存器;
5、 配置JESD204B模式;
6、 配置通道路径:数字增益和通道NCO;
7、 配置主要DAC路径:PA保护和主要NCO;
8、 配置JESD204B串行链路;
9、 配置传输层:同步和使能链路;
利用adi 评估软件可以导出完整配置参数
① ACE

 4、JESD数据通道
JESD204B接口主要由JESD204B PHY IPcore、JESD204B IPcore、数据整理模块组成,如图各模块功能如下:
1、 JESD204B PHY IPcore:该模块为Xilinx提供的IPcore,主要负责将FPGA中串行数据转换为并行数据,并通过GTX送入DAC中进行数模转换。
2、 JESD204B IPcore:该模块为Xilinx提供的IPcore,主要负责根据JESD204B协议建立与DAC的连接,将FPGA中处理的数据基于JESD204B协议发送到DAC。
3、 信号产生模块:通过算法产生所需DAC发送的数据。


根据AD9174手册的描述,在上节所选的JESD204B工作参数的状态下,其输出数据帧格式如图所示。

在这里插入图片描述 

根据数据帧格式,组帧模块如下:

在这里插入图片描述 

DDS发送单音信号测试频谱如图。。

 

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

FPGA之JESD204B接口——总体概要 实例 下 的相关文章

  • 在vivado中使用tcl脚本(UG894)

    本文源自UG894 主要介绍如何在vivado中使用tcl脚本 1 vivado中如何获取tcl help vivado中任何自带的命令都可以通过 help 获取帮助信息 也可以直接输入 help 取得vivado命令合集 并通过 help
  • STA(静态时序分析) 详解:如何计算最大时钟频率,以及判断电路是否出现时钟违例(timing violation)?

    1 什么是STA STA 静态时序分析 是时序验证的一种方法 用于计算和分析电路是否满足时序约束的要求 2 为什么需要STA 电路能否正常工作 其本质上是受最长逻辑通路 即关键路径 的限制 以及受芯片中存储器件的物理约束或工作环境的影响 为
  • FPGA同步复位和异步复位的区别以及设计处理

    FPGA复位信号的设计处理 同步复位 同步复位 同步复位信号跟触发器的时钟是同步的 只有在时钟的跳变沿到来之后才会生效 对应verilog代码如下 这种写法会被编译器综合成同步复位 always posedge clk begin if r
  • verilog中wire和reg类型的区别

    module counter parameter CNT MAX 25 d24 999 999 input wire sys clk input wire sys rst n output reg led out reg 24 0 cnt
  • 用Vscode编辑verilog代码配置

    这篇教程感觉很详细了 我这里分享一下vscode和插件的安装包链接 都是官网下载的 放心食用 用VSCode编辑verilog代码 iverilog编译 自动例化 自动补全 自动格式化等常用插件 链接 https pan baidu com
  • Xilinx 7系列芯片选型手册的资源量怎么看

    推荐阅读AMD官方文档 该文档介绍了各种资源的具体含义 链接 7 Series FPGAs Configurable Logic Block User Guide UG474 以XC7A35T为例 Logic Cells 逻辑单元 对于7系
  • Verilog之assign

    Verilog中的关键词assign主要用于如下两个地方 数据流建模 用于数据流建模的显示连续赋值语句语法格式如下
  • HDLBits刷题_Verilog Language_Procedures_Alwaysblock1

    学习内容 Since digital circuits are composed of logic gates connected with wires any circuit can be expressed as some combin
  • Verilog的基础知识

    Verilog的基本介绍 硬件描述语言发展至今已有二十多年历史 当今业界的标准中 IEEE标准 主要有VHDL和Verilog HDL 这两种硬件描述语言 一个设计往往从系统级设计开始 把系统划分成几个大的基本的功能模块 每个功能模块再按一
  • 采用Vivado 配置xilinx GTX的SATA设计

    从Vivado开始 配置GTX的时候 多了一个SATA协议支持 但有些小地方还需要自己另外设置 整理了一下 分享给大家 首先打开Transceivers wizard 打开页签 线速率和参考时钟选择 在协议里面选择SATA2或者SATA3
  • verilog 基本语法 {}大括号的使用

    的基本使用是两个 一个是拼接 一个是复制 下面列举了几种常见用法 基本用法 表示拼接 第一位 第二位 表示复制 4 a 等同于 a a a a 所以 13 1 b1 就表示将13个1拼接起来 即13 b1111111111111 拼接语法详
  • JESD204B(RX)协议接口说明。

    解释一下Vivado IP协议中的Shared Logic in Example 与 Shared Logic in Core 首先 什么是Shared Logic 字面意思很好理解 就是共享逻辑 主要包括时钟 复位等逻辑 当选择Share
  • libero-soc许可证申请和环境配置

    环境 64位机 在哪台电脑上安装libero soc 就用哪台电脑申请许可证 1 注册 https www microsemi co 在官网注册 之后申请的许可证会发到注册时填写的邮箱 2 申请许可证 https www microsemi
  • 从零开始zynq linux AXI DMA传输

    本文从0开始叙述过程 使用的工具为vivado2016 4 sdk也是2016 4 准备工作 首先下载如下的目标文件 1 下载xilinx官方的bootloader文件 git clone https github com Xilinx u
  • FPGA实现VGA显示图片

    利用FPGA在带有VGA接口的液晶显示器上显示图片 电路原理图 端口说明 VGA R2 VGAB0的8个端口位VGA的RGB数据位 VGA HS为行同步信号 VGA VS为场同步信号 以分辨率为640x480为例 刷新速率为60Hz 每幅图
  • 使用 VHDL 实例化 FPGA 中的 RAM

    我试图按照中的指导实现双端口 RAM这篇优秀的博文 http danstrother com 2010 09 11 inferring rams in fpgas 然而 ModelSim 在编译时给出以下警告 Warning fifo ra
  • 如何生成异步复位verilog总是阻塞凿子

    Chisel 始终生成敏感度列表中仅包含时钟的块 always posedge clk begin end 是否可以将模块配置为使用异步重置并生成这样的始终块 always posedge clk or posedge reset begi
  • 赋值语句中的“others=>'0'”是什么意思?

    cmd register process rst n clk begin if rst n 0 then cmd r lt others gt 0 elsif clk event and clk 1 then cmd r lt end if
  • 映射 MMIO 区域写回不起作用

    我希望对 PCIe 设备的所有读写请求都由 CPU 缓存进行缓存 然而 它并没有像我预期的那样工作 这些是我对回写 MMIO 区域的假设 对 PCIe 设备的写入仅在缓存回写时发生 TLP 有效负载的大小是缓存块大小 64B 然而 捕获的
  • 无符号和 std_logic_vector 之间的区别

    谁能告诉我以下书面陈述之间的区别 signal A unsigned 3 downto 0 signal B std logic vector 3 downto 0 Both std logic vector and unsigned ar

随机推荐

  • 8、解决Linux无法上网的各种问题

    最近发现Linux重新开机后无法上网 xff0c 不仅不能ping通windows主机也不能上外网 ifconfig后eth0也没有分配IP地址 xff0c 总之各种问题都被我碰到了 现在来一一解决 xff01 1 没有分配到IP地址 开机
  • 解决开发板ping不通主机和虚拟机的问题

    使用TFTP和NFS从虚拟机下载文件或者制作根文件系统的前提是开发板能够ping同虚拟机 xff01 相信很多人都像我一样有过ping不通的经历 xff0c 经过2 3天的研究和实验后终于把问题解决了 xff0c 而且屡试不爽 最后得出结论
  • USB摄像头驱动配置及V4L2编程

    1 摄像头驱动开发 1 1 摄像头软件系统架构 摄像头系统架构分为四层 xff1a 摄像头 支持V4L2的摄像头驱动 V4L2核心 应用程序 V4L2核心是Linux系统自带的组件 xff0c 它可以屏蔽摄像头驱动层的差异 xff0c 不管
  • 机器学习中的五种回归模型及其优缺点

    转自https blog csdn net Katherine hsr article details 79942260 好像有部分公式不能显示 xff0c 请查看原博客 本文将会介绍五种常见的回归模型的概念及其优缺点 xff0c 包括线性
  • VGGNet介绍

    VGGNet介绍 1 简要概括 VGGNet由牛津大学计算机视觉组合和Google DeepMind公司研究员一起研发的深度卷积神经网络 它探索了卷积神经网络的深度和其性能之间的关系 xff0c 通过反复的堆叠3 3的小型卷积核和2 2的最
  • PX4编译问题总结

    PX4在变编译的时候总会碰到很多问题 有些问题根据提示就可以解决 xff0c 有些问题却有点麻烦 1 找不到python jinja2模块 CMake Error at usr share cmake 3 2 Modules FindPac
  • 面试专题(十三):Service 与 IntentService

    1 Service 与 IntentService区别 Service不是运行在独立的线程 xff0c 所以不建议在Service中编写耗时的逻辑和操作 xff0c 否则会引起ANR IntentService 1 可用于执行后台耗时的任务
  • ResNet介绍

    ResNet介绍 1 简要概括 ResNet xff08 Residual Neural Network xff09 由微软研究院的Kaiming He等四名华人提出 xff0c 通过使用ResNet Unit成功训练出了152层的神经网络
  • PX4日志生成及查看

    Pixhawk的飞行日志由固件中的sd2log模块记录在SD卡的log文件中 xff0c 目前版本的格式为 px4log xff08 曾经是 bin xff09 xff0c 根据sd2log的设置不同 xff0c 包含飞行日志的文件夹的名字
  • PX4中混控器Mixer的分析

    PX4架构保证了核心控制器中不需要针对机身布局做特别处理 混控指的是把输入指令 xff08 例如 xff1a 遥控器打右转 xff09 分配到电机以及舵机的执行器 xff08 如电调或舵机PWM xff09 指令 对于固定翼的副翼控制而言
  • PX4-固定翼的姿态控制

    下面分析代码的版本是v1 8 2 1 参数介绍 固定翼中有很多参数 xff0c 理解这些参数的含义非常重要 FW AIRSPD TRIM 巡航状态下的空速 15m s FW AIRSPD MIN 最小空速 10m s FW AIRSPD M
  • PX4子模块不一致的问题

    PX4新代码改变了很多东西 xff0c 同时子模块改变也挺大的 将主代码切换到较老版本时会发生子模块版本不符合的情况 xff0c 这时候需要注意 xff0c 切换后需要同步下子模块 make clean git checkout lt wh
  • 【乌拉喵.教程】串口服务器的配置与连接调试

    串口服务器型号 xff1a NSC6008 8 1 使用网线将PC与串口服务器进行连接 2 将PC的IP设为如下 3 使用光盘所带软件update exe找到与PC相连的串口服务器IP 点击图标修改串口服务器IP地址为10 116 2 20
  • 解决Linux-Ubuntu下网速慢的解决方法

    官网上下了一个新版的Ubuntu18 04 xff0c 发现这个版本的网络速度像乌龟一样 xff0c 查阅了很多人的博客都没有用 xff0c 很多都是解决关于浏览器慢的方法 但是 xff0c 这个系统慢的不是浏览器 xff0c 是接上WIF
  • FPGA---7系列之IBERT_GTX内外环测试

    一 概述 IBERT xff08 集成误码率测试仪 xff09 是xilinx为7系列FPGA GTX收发器设计的 xff0c 用于评估和监控GTX收发器 IBERT包括在FPGA逻辑中实现的模式生成器和检查器 xff0c 以及对端口的访问
  • FPGA之JESD204B接口——总体概要 尾片

    在上一篇博客中 JESD204B 1 总体概要 xff0c 我们框架性的介绍了JESD204B xff0c 这篇博客介绍协议所需要关注的一些参数 xff0c 这些参数基本就是决定了连接特性 理解这些参数 xff0c 有助于理解连接中的转换特
  • FPGA之JESD204B接口——总体概要 实例上

    JESD204B IP CORE结构 JESD204B支持速率高达12 5Gbps xff0c IPcore可以配置为发送端 xff08 如用于DAC xff09 或接收端 xff08 如用于ADC xff09 xff0c 每个core支持
  • Android-使用RecyclerView的ItemDecoration 实现炫酷的 吸顶效果

    转载请注明出处 xff1a 李诗雨 http blog csdn net cjm2484836553 article details 53453982 开始逐渐领略到 ItemDecoration的美 源码已上传至github xff0c
  • FPGA之JESD204B接口——总体概要 实例 中

    1 AD9174配置 本设计采用AD9174 xff0c 其是一款高性能 双通道 16位数模转换器 DAC xff0c 支持高达12 6 GSPS的DAC采样速率 该器件具有8通道 15 4 Gbps JESD204B数据输入端口 高性能片
  • FPGA之JESD204B接口——总体概要 实例 下

    1 概述 DAC正常工作的前提是电源以及时钟稳定工作 xff0c 因此需要首先配置锁相环 xff0c 根据ADI评估板EVAL AD9174开发记录 上的时钟需求配置出需要的时钟频率 xff0c 待时钟锁定后配置dac内部锁相环 xff0c